OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sys/] [altera_qsys/] [system.qpf] - Diff between revs 73 and 74

Show entire file | Details | Blame | View Log

Rev 73 Rev 74
?rev1line?
?rev2line?
 
# -------------------------------------------------------------------------- #
 
#
 
# Copyright (C) 1991-2012 Altera Corporation
 
# Your use of Altera Corporation's design tools, logic functions
 
# and other software and tools, and its AMPP partner logic
 
# functions, and any output files from any of the foregoing
 
# (including device programming or simulation files), and any
 
# associated documentation or information are expressly subject
 
# to the terms and conditions of the Altera Program License
 
# Subscription Agreement, Altera MegaCore Function License
 
# Agreement, or other applicable license agreement, including,
 
# without limitation, that your use is for the sole purpose of
 
# programming logic devices manufactured by Altera and sold by
 
# Altera or its authorized distributors.  Please refer to the
 
# applicable agreement for further details.
 
#
 
# -------------------------------------------------------------------------- #
 
#
 
# Quartus II 32-bit
 
# Version 12.1 Build 177 11/07/2012 SJ Web Edition
 
# Date created = 15:58:54  February 03, 2013
 
#
 
# -------------------------------------------------------------------------- #
 
 
 
QUARTUS_VERSION = "12.1"
 
DATE = "15:58:54  February 03, 2013"
 
 
 
# Revisions
 
 
 
PROJECT_REVISION = "system"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.