OpenCores
URL https://opencores.org/ocsvn/hdlc/hdlc/trunk

Subversion Repositories hdlc

[/] [hdlc/] [trunk/] [CODE/] [LIBS/] [hdlc_components_pkg.vhd] - Diff between revs 5 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 7
Line 4... Line 4...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File        : hdlc_components_pkg.vhd
-- File        : hdlc_components_pkg.vhd
-- Author      : Jamil Khatib  (khatib@ieee.org)
-- Author      : Jamil Khatib  (khatib@ieee.org)
-- Organization: OpenIPCore Project
-- Organization: OpenIPCore Project
-- Created     : 2000/12/30
-- Created     : 2000/12/30
-- Last update: 2001/01/12
-- Last update: 2001/01/26
-- Platform    : 
-- Platform    : 
-- Simulators  : Modelsim 5.3XE/Windows98
-- Simulators  : Modelsim 5.3XE/Windows98
-- Synthesizers: 
-- Synthesizers: 
-- Target      : 
-- Target      : 
-- Dependency  : ieee.std_logic_1164
-- Dependency  : ieee.std_logic_1164
Line 39... Line 39...
-- Date            :   12 Jan 2001
-- Date            :   12 Jan 2001
-- Modifier        :   Jamil Khatib (khatib@ieee.org)
-- Modifier        :   Jamil Khatib (khatib@ieee.org)
-- Desccription    :   RxEnable bug fixed
-- Desccription    :   RxEnable bug fixed
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
-- Revisions  :
 
-- Revision Number :   3
 
-- Version         :   0.3
 
-- Date            :   16 Jan 2001
 
-- Modifier        :   Jamil Khatib (khatib@ieee.org)
 
-- Desccription    :   TX componentes added
 
--
 
-------------------------------------------------------------------------------
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
package hdlc_components_pkg is
package hdlc_components_pkg is
 
 
 
  component TxChannel_ent
 
    port (
 
      TxClk        : in  std_logic;
 
      rst_n        : in  std_logic;
 
      TXEN         : in  std_logic;
 
      Tx           : out std_logic;
 
      ValidFrame   : in  std_logic;
 
      AbortFrame   : in  std_logic;
 
      AbortedTrans : out std_logic;
 
      WriteByte    : in  std_logic;
 
      rdy          : out std_logic;
 
      TxData       : in  std_logic_vector(7 downto 0));
 
  end component;
 
 
 
  component TxCont_ent
 
    port (
 
      TXclk         : in  std_logic;
 
      rst_n         : in  std_logic;
 
      TXEN          : in  std_logic;
 
      enable        : out std_logic;
 
      BackendEnable : out std_logic;
 
      abortedTrans  : in  std_logic;
 
      inProgress    : in  std_logic;
 
      ValidFrame    : in  std_logic;
 
      Frame         : out std_logic;
 
      AbortFrame    : in  std_logic;
 
      AbortTrans    : out std_logic);
 
  end component;
 
 
 
  component flag_ins_ent
 
    port (
 
      TXclk      : in  std_logic;
 
      rst_n      : in  std_logic;
 
      TX         : out std_logic;
 
      TXEN       : in  std_logic;
 
      TXD        : in  std_logic;
 
      AbortFrame : in  std_logic;
 
      Frame      : in  std_logic);
 
  end component;
 
 
 
  component ZeroIns_ent
 
    port (
 
      TxClk         : in  std_logic;
 
      rst_n         : in  std_logic;
 
      enable        : in  std_logic;
 
      BackendEnable : in  std_logic;
 
      abortedTrans  : out std_logic;
 
      inProgress    : out std_logic;
 
      ValidFrame    : in  std_logic;
 
      Writebyte     : in  std_logic;
 
      rdy           : out std_logic;
 
      TXD           : out std_logic;
 
      Data          : in  std_logic_vector(7 downto 0));
 
  end component;
 
 
  component rxcont_ent
  component rxcont_ent
    port (
    port (
      RxClk        : in  std_logic;
      RxClk        : in  std_logic;
      rst          : in  std_logic;
      rst          : in  std_logic;
      RxEn         : in  std_logic;
      RxEn         : in  std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.