Line 1... |
Line 1... |
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_unsigned.all;
|
use ieee.std_logic_unsigned.all;
|
|
|
entity hellfire_cpu_if is
|
entity hfrisc_soc is
|
generic(
|
generic(
|
address_width: integer := 15;
|
address_width: integer := 15;
|
memory_file : string := "code.txt";
|
memory_file : string := "code.txt";
|
uart_support : string := "yes"
|
uart_support : string := "yes"
|
);
|
);
|
Line 12... |
Line 12... |
reset_in: in std_logic;
|
reset_in: in std_logic;
|
int_in: in std_logic;
|
int_in: in std_logic;
|
uart_read: in std_logic;
|
uart_read: in std_logic;
|
uart_write: out std_logic
|
uart_write: out std_logic
|
);
|
);
|
end hellfire_cpu_if;
|
end hfrisc_soc;
|
|
|
architecture interface of hellfire_cpu_if is
|
architecture top_level of hfrisc_soc is
|
signal clock, boot_enable, ram_enable_n, stall, stall_cpu, busy_cpu, irq_cpu, irq_ack_cpu, exception_cpu, data_access_cpu, ram_dly, rff1, reset: std_logic;
|
signal clock, boot_enable, ram_enable_n, stall, stall_cpu, busy_cpu, irq_cpu, irq_ack_cpu, exception_cpu, data_access_cpu, ram_dly, rff1, reset: std_logic;
|
signal address, data_read, data_write, data_read_boot, data_read_ram, irq_vector_cpu, inst_addr_cpu, inst_in_cpu, data_addr_cpu, data_in_cpu, data_out_cpu: std_logic_vector(31 downto 0);
|
signal address, data_read, data_write, data_read_boot, data_read_ram, irq_vector_cpu, address_cpu, data_in_cpu, data_out_cpu: std_logic_vector(31 downto 0);
|
signal ext_irq: std_logic_vector(7 downto 0);
|
signal ext_irq: std_logic_vector(7 downto 0);
|
signal data_we, data_w_n_ram, data_w_cpu: std_logic_vector(3 downto 0);
|
signal data_we, data_w_n_ram, data_w_cpu: std_logic_vector(3 downto 0);
|
begin
|
begin
|
-- clock divider (25MHz clock from 50MHz main clock for Spartan3 Starter Kit)
|
-- clock divider (25MHz clock from 50MHz main clock for Spartan3 Starter Kit)
|
process (reset_in, clk_in, clock)
|
process (reset_in, clk_in, clock)
|
Line 62... |
Line 62... |
boot_enable <= '1' when address(31 downto 28) = "0000" else '0';
|
boot_enable <= '1' when address(31 downto 28) = "0000" else '0';
|
ram_enable_n <= '0' when address(31 downto 28) = "0100" else '1';
|
ram_enable_n <= '0' when address(31 downto 28) = "0100" else '1';
|
data_read <= data_read_boot when address(31 downto 28) = "0000" and ram_dly = '0' else data_read_ram;
|
data_read <= data_read_boot when address(31 downto 28) = "0000" and ram_dly = '0' else data_read_ram;
|
data_w_n_ram <= not data_we;
|
data_w_n_ram <= not data_we;
|
|
|
-- HF-RISCV core
|
-- HF-RISC core
|
core: entity work.datapath
|
core: entity work.datapath
|
port map( clock => clock,
|
port map( clock => clock,
|
reset => reset,
|
reset => reset,
|
stall => stall_cpu,
|
stall => stall_cpu,
|
busy => busy_cpu,
|
|
irq_vector => irq_vector_cpu,
|
irq_vector => irq_vector_cpu,
|
irq => irq_cpu,
|
irq => irq_cpu,
|
irq_ack => irq_ack_cpu,
|
irq_ack => irq_ack_cpu,
|
exception => exception_cpu,
|
exception => exception_cpu,
|
inst_addr => inst_addr_cpu,
|
address => address_cpu,
|
inst_in => inst_in_cpu,
|
|
data_addr => data_addr_cpu,
|
|
data_in => data_in_cpu,
|
data_in => data_in_cpu,
|
data_out => data_out_cpu,
|
data_out => data_out_cpu,
|
data_w => data_w_cpu,
|
data_w => data_w_cpu,
|
data_access => data_access_cpu
|
data_access => data_access_cpu
|
);
|
);
|
Line 93... |
Line 90... |
reset => reset,
|
reset => reset,
|
|
|
stall => stall,
|
stall => stall,
|
|
|
stall_cpu => stall_cpu,
|
stall_cpu => stall_cpu,
|
busy_cpu => busy_cpu,
|
|
irq_vector_cpu => irq_vector_cpu,
|
irq_vector_cpu => irq_vector_cpu,
|
irq_cpu => irq_cpu,
|
irq_cpu => irq_cpu,
|
irq_ack_cpu => irq_ack_cpu,
|
irq_ack_cpu => irq_ack_cpu,
|
exception_cpu => exception_cpu,
|
exception_cpu => exception_cpu,
|
inst_addr_cpu => inst_addr_cpu,
|
address_cpu => address_cpu,
|
inst_in_cpu => inst_in_cpu,
|
|
data_addr_cpu => data_addr_cpu,
|
|
data_in_cpu => data_in_cpu,
|
data_in_cpu => data_in_cpu,
|
data_out_cpu => data_out_cpu,
|
data_out_cpu => data_out_cpu,
|
data_w_cpu => data_w_cpu,
|
data_w_cpu => data_w_cpu,
|
data_access_cpu => data_access_cpu,
|
data_access_cpu => data_access_cpu,
|
|
|
Line 185... |
Line 179... |
we_n => data_w_n_ram(3),
|
we_n => data_w_n_ram(3),
|
data_i => data_write(31 downto 24),
|
data_i => data_write(31 downto 24),
|
data_o => data_read_ram(31 downto 24)
|
data_o => data_read_ram(31 downto 24)
|
);
|
);
|
|
|
end interface;
|
end top_level;
|
|
|
|
|
No newline at end of file
|
No newline at end of file
|