OpenCores
URL https://opencores.org/ocsvn/hicovec/hicovec/trunk

Subversion Repositories hicovec

[/] [hicovec/] [branches/] [avendor/] [cpu/] [config.vhd] - Diff between revs 2 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 1... Line 1...
------------------------------------------------------------------
------------------------------------------------------------------
-- PROJECT:     clvp (configurable lightweight vector processor)
-- PROJECT:     HiCoVec (highly configurable vector processor)
--
--
-- ENTITY:      cfg
-- ENTITY:      cfg
--
--
-- PURPOSE:     base configuration file          
-- PURPOSE:     base configuration file          
--
--

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.