URL
https://opencores.org/ocsvn/highload/highload/trunk
[/] [highload/] [trunk/] [high_load.vhd] - Diff between revs 2 and 3
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 2 |
Rev 3 |
Line 62... |
Line 62... |
|
|
component lc_use is
|
component lc_use is
|
generic (
|
generic (
|
DATA_WIDTH : positive := 128;
|
DATA_WIDTH : positive := 128;
|
ARITH_SIZE : positive := 16; -- Should be divider of DATA_WIDTH
|
ARITH_SIZE : positive := 16; -- Should be divider of DATA_WIDTH
|
NUM_ROWS: positive := 6 -- Input pins
|
NUM_ROWS: positive := 6; -- Input pins
|
|
ADD_PIPL_FF : boolean := false
|
);
|
);
|
port
|
port
|
(
|
(
|
clk : in std_logic;
|
clk : in std_logic;
|
inputs: in std_logic_vector(DATA_WIDTH-1 downto 0);
|
inputs: in std_logic_vector(DATA_WIDTH-1 downto 0);
|
Line 207... |
Line 208... |
-- );
|
-- );
|
lc_i: lc_use
|
lc_i: lc_use
|
generic map (
|
generic map (
|
DATA_WIDTH => 128,
|
DATA_WIDTH => 128,
|
ARITH_SIZE => 16, -- Should be divider of DATA_WIDTH
|
ARITH_SIZE => 16, -- Should be divider of DATA_WIDTH
|
NUM_ROWS => 6 -- Input pins
|
NUM_ROWS => 6, -- Input pins
|
|
ADD_PIPL_FF => true
|
)
|
)
|
port map
|
port map
|
(
|
(
|
clk => clk,
|
clk => clk,
|
inputs => lc_in(128*i+127 downto 128*i),
|
inputs => lc_in(128*i+127 downto 128*i),
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.