OpenCores
URL https://opencores.org/ocsvn/i2c/i2c/trunk

Subversion Repositories i2c

[/] [i2c/] [trunk/] [rtl/] [verilog/] [i2c_master_bit_ctrl.v] - Diff between revs 29 and 30

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 29 Rev 30
Line 35... Line 35...
////                                                             ////
////                                                             ////
/////////////////////////////////////////////////////////////////////
/////////////////////////////////////////////////////////////////////
 
 
//  CVS Log
//  CVS Log
//
//
//  $Id: i2c_master_bit_ctrl.v,v 1.6 2002-12-26 15:02:32 rherveille Exp $
//  $Id: i2c_master_bit_ctrl.v,v 1.7 2002-12-26 16:05:12 rherveille Exp $
//
//
//  $Date: 2002-12-26 15:02:32 $
//  $Date: 2002-12-26 16:05:12 $
//  $Revision: 1.6 $
//  $Revision: 1.7 $
//  $Author: rherveille $
//  $Author: rherveille $
//  $Locker:  $
//  $Locker:  $
//  $State: Exp $
//  $State: Exp $
//
//
// Change History:
// Change History:
//               $Log: not supported by cvs2svn $
//               $Log: not supported by cvs2svn $
 
//               Revision 1.6  2002/12/26 15:02:32  rherveille
 
//               Core is now a Multimaster I2C controller
 
//
//               Revision 1.5  2002/11/30 22:24:40  rherveille
//               Revision 1.5  2002/11/30 22:24:40  rherveille
//               Cleaned up code
//               Cleaned up code
//
//
//               Revision 1.4  2002/10/30 18:10:07  rherveille
//               Revision 1.4  2002/10/30 18:10:07  rherveille
//               Fixed some reported minor start/stop generation timing issuess.
//               Fixed some reported minor start/stop generation timing issuess.
Line 222... Line 225...
          begin
          begin
              sta_condition <= #1 ~sSDA &  dSDA & sSCL;
              sta_condition <= #1 ~sSDA &  dSDA & sSCL;
              sto_condition <= #1  sSDA & ~dSDA & sSCL;
              sto_condition <= #1  sSDA & ~dSDA & sSCL;
          end
          end
 
 
        // generate bus busy signal
        // generate i2c bus busy signal
        always @(posedge clk or negedge nReset)
        always @(posedge clk or negedge nReset)
          if(!nReset)
          if(!nReset)
            busy <= #1 1'b0;
            busy <= #1 1'b0;
          else if (rst)
          else if (rst)
            busy <= #1 1'b0;
            busy <= #1 1'b0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.