OpenCores
URL https://opencores.org/ocsvn/i2c/i2c/trunk

Subversion Repositories i2c

[/] [i2c/] [trunk/] [rtl/] [verilog/] [i2c_master_byte_ctrl.v] - Diff between revs 29 and 38

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 29 Rev 38
Line 35... Line 35...
////                                                             ////
////                                                             ////
/////////////////////////////////////////////////////////////////////
/////////////////////////////////////////////////////////////////////
 
 
//  CVS Log
//  CVS Log
//
//
//  $Id: i2c_master_byte_ctrl.v,v 1.5 2002-12-26 15:02:32 rherveille Exp $
//  $Id: i2c_master_byte_ctrl.v,v 1.6 2003-08-09 07:01:33 rherveille Exp $
//
//
//  $Date: 2002-12-26 15:02:32 $
//  $Date: 2003-08-09 07:01:33 $
//  $Revision: 1.5 $
//  $Revision: 1.6 $
//  $Author: rherveille $
//  $Author: rherveille $
//  $Locker:  $
//  $Locker:  $
//  $State: Exp $
//  $State: Exp $
//
//
// Change History:
// Change History:
//               $Log: not supported by cvs2svn $
//               $Log: not supported by cvs2svn $
 
//               Revision 1.5  2002/12/26 15:02:32  rherveille
 
//               Core is now a Multimaster I2C controller
 
//
//               Revision 1.4  2002/11/30 22:24:40  rherveille
//               Revision 1.4  2002/11/30 22:24:40  rherveille
//               Cleaned up code
//               Cleaned up code
//
//
//               Revision 1.3  2001/11/05 11:59:25  rherveille
//               Revision 1.3  2001/11/05 11:59:25  rherveille
//               Fixed wb_ack_o generation bug.
//               Fixed wb_ack_o generation bug.
Line 307... Line 310...
                         end
                         end
                       else
                       else
                         begin
                         begin
                             c_state  <= #1 ST_IDLE;
                             c_state  <= #1 ST_IDLE;
                             core_cmd <= #1 `I2C_CMD_NOP;
                             core_cmd <= #1 `I2C_CMD_NOP;
 
 
 
                             // generate command acknowledge signal
 
                             cmd_ack  <= #1 1'b1;
                         end
                         end
 
 
                         // assign ack_out output to bit_controller_rxd (contains last received bit)
                         // assign ack_out output to bit_controller_rxd (contains last received bit)
                         ack_out <= #1 core_rxd;
                         ack_out <= #1 core_rxd;
 
 
                         // generate command acknowledge signal
//                       // generate command acknowledge signal
                         cmd_ack  <= #1 1'b1;
//                       cmd_ack  <= #1 1'b1;
 
 
                         core_txd <= #1 1'b1;
                         core_txd <= #1 1'b1;
                     end
                     end
                   else
                   else
                     core_txd <= #1 ack_in;
                     core_txd <= #1 ack_in;
Line 325... Line 331...
                ST_STOP:
                ST_STOP:
                  if (core_ack)
                  if (core_ack)
                    begin
                    begin
                        c_state  <= #1 ST_IDLE;
                        c_state  <= #1 ST_IDLE;
                        core_cmd <= #1 `I2C_CMD_NOP;
                        core_cmd <= #1 `I2C_CMD_NOP;
 
 
 
                        // generate command acknowledge signal
 
                        cmd_ack  <= #1 1'b1;
                    end
                    end
 
 
              endcase
              endcase
          end
          end
endmodule
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.