Line 42... |
Line 42... |
----------------------------------------------------------------------
|
----------------------------------------------------------------------
|
--
|
--
|
-- CVS Revision History
|
-- CVS Revision History
|
--
|
--
|
-- $Log: not supported by cvs2svn $
|
-- $Log: not supported by cvs2svn $
|
|
-- Revision 1.1 2004/08/04 14:29:34 gedra
|
|
-- Receiver top level, master mode.
|
|
--
|
--
|
--
|
--
|
--
|
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
Line 80... |
Line 83... |
signal config_rd, config_wr, status_rd : std_logic;
|
signal config_rd, config_wr, status_rd : std_logic;
|
signal config_dout, status_dout: std_logic_vector(DATA_WIDTH - 1 downto 0);
|
signal config_dout, status_dout: std_logic_vector(DATA_WIDTH - 1 downto 0);
|
signal config_bits : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
signal config_bits : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
signal intmask_bits, intmask_dout: std_logic_vector(DATA_WIDTH - 1 downto 0);
|
signal intmask_bits, intmask_dout: std_logic_vector(DATA_WIDTH - 1 downto 0);
|
signal intmask_rd, intmask_wr: std_logic;
|
signal intmask_rd, intmask_wr: std_logic;
|
signal intstat_dout, intstat_events: std_logic_vector(DATA_WIDTH - 1 downto 0);
|
signal intstat_events: std_logic_vector(DATA_WIDTH - 1 downto 0);
|
|
signal intstat_dout: std_logic_vector(DATA_WIDTH - 1 downto 0);
|
signal intstat_rd, intstat_wr : std_logic;
|
signal intstat_rd, intstat_wr : std_logic;
|
signal evt_hsbf, evt_lsbf : std_logic;
|
signal evt_hsbf, evt_lsbf : std_logic;
|
signal mem_wr, mem_rd: std_logic;
|
signal mem_wr, mem_rd: std_logic;
|
signal sbuf_rd_adr, sbuf_wr_adr : std_logic_vector(ADDR_WIDTH - 2 downto 0);
|
signal sbuf_rd_adr, sbuf_wr_adr : std_logic_vector(ADDR_WIDTH - 2 downto 0);
|
signal sbuf_dout, sbuf_din, zeros: std_logic_vector(DATA_WIDTH - 1 downto 0);
|
signal sbuf_dout, sbuf_din, zeros: std_logic_vector(DATA_WIDTH - 1 downto 0);
|
Line 249... |
Line 253... |
port map (
|
port map (
|
wb_clk_i => wb_clk_i,
|
wb_clk_i => wb_clk_i,
|
conf_res => conf_res,
|
conf_res => conf_res,
|
conf_ratio => conf_ratio,
|
conf_ratio => conf_ratio,
|
conf_swap => conf_rswap,
|
conf_swap => conf_rswap,
|
conf_inten => conf_rinten,
|
|
conf_en => conf_rxen,
|
conf_en => conf_rxen,
|
i2s_sd_i => i2s_sd_i,
|
i2s_sd_i => i2s_sd_i,
|
i2s_sck_i => zero,
|
i2s_sck_i => zero,
|
i2s_ws_i => zero,
|
i2s_ws_i => zero,
|
sample_dat_i => zeros,
|
sample_dat_i => zeros,
|