OpenCores
URL https://opencores.org/ocsvn/instruction_list_pipelined_processor_with_peripherals/instruction_list_pipelined_processor_with_peripherals/trunk

Subversion Repositories instruction_list_pipelined_processor_with_peripherals

[/] [instruction_list_pipelined_processor_with_peripherals/] [trunk/] [hdl/] [op2Mux.v] - Diff between revs 3 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 5
Line 17... Line 17...
        always @ *
        always @ *
        begin
        begin
 
 
                case (op2MuxSel)
                case (op2MuxSel)
 
 
                `op2MuxSel0             :       begin
                `op2MuxSelInput :       begin
                                                                op2MuxOut = {7'b0, inputReadOut};
                                                                op2MuxOut = {7'b0, inputReadOut};
                                                                end
                                                                end
 
 
                `op2MuxSel1             :       begin
                `op2MuxSelOutput        :       begin
                                                                op2MuxOut = {7'b0, outputReadOut};
                                                                op2MuxOut = {7'b0, outputReadOut};
                                                                end
                                                                end
 
 
                `op2MuxSel2             :       begin
                `op2MuxSelBitRam        :       begin
                                                                op2MuxOut = {7'b0, bitOut};
                                                                op2MuxOut = {7'b0, bitOut};
                                                                end
                                                                end
 
 
                `op2MuxSel3             :       begin
                `op2MuxSelByteRam       :       begin
                                                                op2MuxOut = byteOut;
                                                                op2MuxOut = byteOut;
                                                                end
                                                                end
 
 
 
 
                default                 :       begin
                default                 :       begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.