OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [sim/] [mips_tb.do] - Diff between revs 239 and 251

Show entire file | Details | Blame | View Log

Rev 239 Rev 251
Line 5... Line 5...
 
 
vcom -reportprogress 300 -work work ../vhdl/mips_pkg.vhdl
vcom -reportprogress 300 -work work ../vhdl/mips_pkg.vhdl
vcom -reportprogress 300 -work work ../vhdl/mips_shifter.vhdl
vcom -reportprogress 300 -work work ../vhdl/mips_shifter.vhdl
vcom -reportprogress 300 -work work ../vhdl/mips_alu.vhdl
vcom -reportprogress 300 -work work ../vhdl/mips_alu.vhdl
vcom -reportprogress 300 -work work ../vhdl/mips_mult.vhdl
vcom -reportprogress 300 -work work ../vhdl/mips_mult.vhdl
 
vcom -reportprogress 300 -work work ../vhdl/mips_cop0.vhdl
vcom -reportprogress 300 -work work ../vhdl/mips_cpu.vhdl
vcom -reportprogress 300 -work work ../vhdl/mips_cpu.vhdl
vcom -reportprogress 300 -work work ../vhdl/sdram_controller.vhdl
vcom -reportprogress 300 -work work ../vhdl/sdram_controller.vhdl
vcom -reportprogress 300 -work work ../vhdl/mips_cache_stub.vhdl
vcom -reportprogress 300 -work work ../vhdl/mips_cache_stub.vhdl
vcom -reportprogress 300 -work work ../vhdl/mips_cache.vhdl
vcom -reportprogress 300 -work work ../vhdl/mips_cache.vhdl
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.