OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [src/] [memtest/] [makefile] - Diff between revs 213 and 229

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 213 Rev 229
Line 2... Line 2...
include ../common/makefile
include ../common/makefile
 
 
# We'll run the simulation for long enough to complete the test
# We'll run the simulation for long enough to complete the test
SIM_LENGTH = 400000
SIM_LENGTH = 400000
 
 
# FPGA Block RAM parameters
# FPGA Block RAM parameters (size in words)
BRAM_START = 0xbfc00000
BRAM_START = 0xbfc00000
CODE_BRAM_SIZE = 2048
CODE_BRAM_SIZE = 2048
 
# Simulated FLASH parameters (size in bytes)
FLASH_START = 0xb0000000
FLASH_START = 0xb0000000
# External RAM parameters (size in words)
FLASH_SIZE = 4096
XRAM_SIZE = 1024
# External RAM parameters (size in 16-bit halfwords)
 
# This is the RAM size simulated by slite; set the same value so the execution
 
# logs match.
 
XRAM_SIZE = 2048
XRAM_START = 0x00000000
XRAM_START = 0x00000000
# Set to > 0 to initialize and enable the cache before running the tests
# Set to > 0 to initialize and enable the cache before running the tests
TEST_CACHE = 1
TEST_CACHE = 1
 
 
LFLAGS = -Ttext $(BRAM_START) -Tdata $(XRAM_START) -eentry -I elf32-big
LFLAGS = -Ttext $(BRAM_START) -Tdata $(XRAM_START) -eentry -I elf32-big
Line 64... Line 68...
 
 
 
 
 
 
#-- Targets that build the synthesizable vhdl; meant for direct invocation -----
#-- Targets that build the synthesizable vhdl; meant for direct invocation -----
 
 
# Create VHDL file for simulation test bench using TB2 template
#-- Create VHDL package with data and parameters for simulation
sim: memtest demo
sim: memtest demo
        $(TO_VHDL) --code memtest.code --data memtest.data --log_trigger=0xbfc00000 \
        $(VHDL_OBJ_PKG) --project="Memtest" \
                --flash flash.bin --flash_size 4096 \
                --package sim_params_pkg \
                --code_size $(CODE_BRAM_SIZE) --data_size $(XRAM_SIZE) \
                --bin memtest.code --name obj_code --bram_size $(CODE_BRAM_SIZE) \
                -s $(SIM_LENGTH) -v $(SRC_DIR)\\mips_tb2_template.vhdl \
                --bin memtest.data --name sram_init --xram_size $(XRAM_SIZE) \
                -o $(TB_DIR)\\mips_tb2.vhdl -e mips_tb2
                --bin flash.bin --name prom_init --flash_size $(FLASH_SIZE) \
        $(TO_VHDL) --code memtest.code --data memtest.data --log_trigger=0xbfc00000 \
                --output $(TB_DIR)/sim_params_pkg.vhdl \
                --flash flash.bin --flash_size 4096 \
                -s $(SIM_LENGTH) --log_trigger=0xbfc00000 \
                --code_size $(CODE_BRAM_SIZE) --data_size $(XRAM_SIZE) \
 
                -s $(SIM_LENGTH) -v $(SRC_DIR)/sim_params_template.vhdl \
 
                -o $(TB_DIR)/sim_params_pkg.vhdl -e mips_tb2
 
 
 
 
 
# Create VHDL file for hardware demo
#-- Create VHDL package with data and parameters for syntesis
demo: memtest
demo: memtest
        $(TO_VHDL) --code memtest.code --data memtest.data --log_trigger=0xb0000000 \
        $(VHDL_OBJ_PKG) --project="Memtest" \
        --code_size $(CODE_BRAM_SIZE) --data_size $(XRAM_SIZE) \
                --package obj_code_pkg \
        -v $(SRC_DIR)/code_rom_template.vhdl  -n "Memory test" \
                --bin memtest.code --name obj_code --bram_size $(CODE_BRAM_SIZE) \
        -o $(DEMO_DIR)/code_rom_pkg.vhdl
                --output $(DEMO_DIR)/../SoC/bootstrap_code_pkg.vhdl
 
 
 
 
#-- And now the usual housekeeping stuff ---------------------------------------
#-- And now the usual housekeeping stuff ---------------------------------------
 
 
.PHONY: clean
.PHONY: clean

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.