OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [src/] [mips_mpu1_template.vhdl] - Diff between revs 97 and 102

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 97 Rev 102
Line 55... Line 55...
signal cpu_code_rd :        t_word;
signal cpu_code_rd :        t_word;
signal cpu_code_rd_vma :    std_logic;
signal cpu_code_rd_vma :    std_logic;
signal cpu_data_wr :        t_word;
signal cpu_data_wr :        t_word;
signal cpu_byte_we :        std_logic_vector(3 downto 0);
signal cpu_byte_we :        std_logic_vector(3 downto 0);
signal cpu_mem_wait :       std_logic;
signal cpu_mem_wait :       std_logic;
 
signal cpu_ic_invalidate :  std_logic;
 
signal cpu_cache_enable :   std_logic;
 
 
 
 
-- interface to i/o
-- interface to i/o
signal mpu_io_rd_data :     std_logic_vector(31 downto 0);
signal mpu_io_rd_data :     std_logic_vector(31 downto 0);
signal mpu_io_wr_data :     std_logic_vector(31 downto 0);
signal mpu_io_wr_data :     std_logic_vector(31 downto 0);
signal mpu_io_rd_addr :     std_logic_vector(31 downto 2);
signal mpu_io_rd_addr :     std_logic_vector(31 downto 2);
Line 117... Line 120...
 
 
        data_wr     => cpu_data_wr,
        data_wr     => cpu_data_wr,
        byte_we     => cpu_byte_we,
        byte_we     => cpu_byte_we,
 
 
        mem_wait    => cpu_mem_wait,
        mem_wait    => cpu_mem_wait,
 
        cache_enable=> cpu_cache_enable,
 
        ic_invalidate=>cpu_ic_invalidate,
 
 
        clk         => clk,
        clk         => clk,
        reset       => reset
        reset       => reset
    );
    );
 
 
Line 144... Line 149...
 
 
        byte_we         => cpu_byte_we,
        byte_we         => cpu_byte_we,
        data_wr         => cpu_data_wr,
        data_wr         => cpu_data_wr,
 
 
        mem_wait        => cpu_mem_wait,
        mem_wait        => cpu_mem_wait,
        cache_enable    => '1',
        cache_enable    => cpu_cache_enable,
 
        ic_invalidate   => cpu_ic_invalidate,
 
 
        -- interface to FPGA i/o devices
        -- interface to FPGA i/o devices
        io_rd_data      => mpu_io_rd_data,
        io_rd_data      => mpu_io_rd_data,
        io_wr_data      => mpu_io_wr_data,
        io_wr_data      => mpu_io_wr_data,
        io_rd_addr      => mpu_io_rd_addr,
        io_rd_addr      => mpu_io_rd_addr,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.