Line 32... |
Line 32... |
io_rd_vma : out std_logic;
|
io_rd_vma : out std_logic;
|
io_byte_we : out std_logic_vector(3 downto 0);
|
io_byte_we : out std_logic_vector(3 downto 0);
|
|
|
-- interface to asynchronous 16-bit-wide EXTERNAL SRAM
|
-- interface to asynchronous 16-bit-wide EXTERNAL SRAM
|
sram_address : out std_logic_vector(SRAM_ADDR_SIZE downto 1);
|
sram_address : out std_logic_vector(SRAM_ADDR_SIZE downto 1);
|
sram_databus : inout std_logic_vector(15 downto 0);
|
sram_data_wr : out std_logic_vector(15 downto 0);
|
|
sram_data_rd : in std_logic_vector(15 downto 0);
|
sram_byte_we_n : out std_logic_vector(1 downto 0);
|
sram_byte_we_n : out std_logic_vector(1 downto 0);
|
sram_oe_n : out std_logic;
|
sram_oe_n : out std_logic;
|
|
|
-- UART
|
-- UART
|
uart_rxd : in std_logic;
|
uart_rxd : in std_logic;
|
Line 164... |
Line 165... |
bram_wr_addr => bram_wr_addr,
|
bram_wr_addr => bram_wr_addr,
|
bram_byte_we => bram_byte_we,
|
bram_byte_we => bram_byte_we,
|
|
|
-- interface to asynchronous 16-bit-wide external SRAM
|
-- interface to asynchronous 16-bit-wide external SRAM
|
sram_address => sram_address,
|
sram_address => sram_address,
|
sram_databus => sram_databus,
|
sram_data_rd => sram_data_rd,
|
|
sram_data_wr => sram_data_wr,
|
sram_byte_we_n => sram_byte_we_n,
|
sram_byte_we_n => sram_byte_we_n,
|
sram_oe_n => sram_oe_n
|
sram_oe_n => sram_oe_n
|
);
|
);
|
|
|
|
|