OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [src/] [mips_mpu1_template.vhdl] - Diff between revs 87 and 97

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 87 Rev 97
Line 46... Line 46...
end; --entity mips_mpu
end; --entity mips_mpu
 
 
architecture rtl of mips_mpu is
architecture rtl of mips_mpu is
 
 
-- interface cpu-cache
-- interface cpu-cache
signal cpu_data_rd_addr :   t_word;
signal cpu_data_addr :      t_word;
signal cpu_data_rd_vma :    std_logic;
signal cpu_data_rd_vma :    std_logic;
signal cpu_data_rd :        t_word;
signal cpu_data_rd :        t_word;
signal cpu_code_rd_addr :   t_pc;
signal cpu_code_rd_addr :   t_pc;
signal cpu_code_rd :        t_word;
signal cpu_code_rd :        t_word;
signal cpu_code_rd_vma :    std_logic;
signal cpu_code_rd_vma :    std_logic;
signal cpu_data_wr_addr :   t_pc;
 
signal cpu_data_wr :        t_word;
signal cpu_data_wr :        t_word;
signal cpu_byte_we :        std_logic_vector(3 downto 0);
signal cpu_byte_we :        std_logic_vector(3 downto 0);
signal cpu_mem_wait :       std_logic;
signal cpu_mem_wait :       std_logic;
 
 
-- interface to i/o
-- interface to i/o
Line 106... Line 105...
 
 
cpu: entity work.mips_cpu
cpu: entity work.mips_cpu
    port map (
    port map (
        interrupt   => '0',
        interrupt   => '0',
 
 
        data_rd_addr=> cpu_data_rd_addr,
        data_addr   => cpu_data_addr,
        data_rd_vma => cpu_data_rd_vma,
        data_rd_vma => cpu_data_rd_vma,
        data_rd     => cpu_data_rd,
        data_rd     => cpu_data_rd,
 
 
        code_rd_addr=> cpu_code_rd_addr,
        code_rd_addr=> cpu_code_rd_addr,
        code_rd     => cpu_code_rd,
        code_rd     => cpu_code_rd,
        code_rd_vma => cpu_code_rd_vma,
        code_rd_vma => cpu_code_rd_vma,
 
 
        data_wr_addr=> cpu_data_wr_addr,
 
        data_wr     => cpu_data_wr,
        data_wr     => cpu_data_wr,
        byte_we     => cpu_byte_we,
        byte_we     => cpu_byte_we,
 
 
        mem_wait    => cpu_mem_wait,
        mem_wait    => cpu_mem_wait,
 
 
Line 134... Line 132...
    port map (
    port map (
        clk             => clk,
        clk             => clk,
        reset           => reset,
        reset           => reset,
 
 
        -- Interface to CPU core
        -- Interface to CPU core
        data_rd_addr    => cpu_data_rd_addr,
        data_addr       => cpu_data_addr,
        data_rd         => cpu_data_rd,
        data_rd         => cpu_data_rd,
        data_rd_vma     => cpu_data_rd_vma,
        data_rd_vma     => cpu_data_rd_vma,
 
 
        code_rd_addr    => cpu_code_rd_addr,
        code_rd_addr    => cpu_code_rd_addr,
        code_rd         => cpu_code_rd,
        code_rd         => cpu_code_rd,
        code_rd_vma     => cpu_code_rd_vma,
        code_rd_vma     => cpu_code_rd_vma,
 
 
        data_wr_addr    => cpu_data_wr_addr,
 
        byte_we         => cpu_byte_we,
        byte_we         => cpu_byte_we,
        data_wr         => cpu_data_wr,
        data_wr         => cpu_data_wr,
 
 
        mem_wait        => cpu_mem_wait,
        mem_wait        => cpu_mem_wait,
        cache_enable    => '1',
        cache_enable    => '1',

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.