OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [src/] [mips_tb2_template.vhdl] - Diff between revs 125 and 137

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 125 Rev 137
Line 227... Line 227...
        data_wr         => cpu_data_wr,
        data_wr         => cpu_data_wr,
 
 
        mem_wait        => cpu_mem_wait,
        mem_wait        => cpu_mem_wait,
        cache_enable    => cpu_cache_enable,
        cache_enable    => cpu_cache_enable,
        ic_invalidate   => cpu_ic_invalidate,
        ic_invalidate   => cpu_ic_invalidate,
 
        unmapped        => OPEN,
 
 
        -- interface to FPGA i/o devices
        -- interface to FPGA i/o devices
        io_rd_data      => io_rd_data,
        io_rd_data      => io_rd_data,
        io_wr_data      => io_wr_data,
        io_wr_data      => io_wr_data,
        io_rd_addr      => io_rd_addr,
        io_rd_addr      => io_rd_addr,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.