OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [SoC/] [bootstrap_code_pkg.vhdl] - Diff between revs 226 and 233

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 226 Rev 233
Line 54... Line 54...
constant BRAM_SIZE : integer := 2048;
constant BRAM_SIZE : integer := 2048;
 
 
 
 
-- Memory initialization data --------------------------------------------------
-- Memory initialization data --------------------------------------------------
 
 
constant obj_code : t_obj_code(0 to 3678) := (
constant obj_code : t_obj_code(0 to 3679) := (
  X"10", X"00", X"00", X"7c", X"00", X"00", X"00", X"00",
  X"10", X"00", X"00", X"7c", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
Line 503... Line 503...
  X"03", X"e0", X"00", X"08", X"00", X"00", X"00", X"00",
  X"03", X"e0", X"00", X"08", X"00", X"00", X"00", X"00",
  X"3c", X"03", X"20", X"00", X"8c", X"62", X"00", X"04",
  X"3c", X"03", X"20", X"00", X"8c", X"62", X"00", X"04",
  X"00", X"00", X"00", X"00", X"30", X"42", X"00", X"02",
  X"00", X"00", X"00", X"00", X"30", X"42", X"00", X"02",
  X"10", X"40", X"ff", X"fc", X"3c", X"02", X"20", X"00",
  X"10", X"40", X"ff", X"fc", X"3c", X"02", X"20", X"00",
  X"8c", X"42", X"00", X"00", X"03", X"e0", X"00", X"08",
  X"8c", X"42", X"00", X"00", X"03", X"e0", X"00", X"08",
  X"00", X"02", X"16", X"02", X"63", X"6f", X"6d", X"70",
  X"30", X"42", X"00", X"ff", X"63", X"6f", X"6d", X"70",
  X"69", X"6c", X"65", X"20", X"74", X"69", X"6d", X"65",
  X"69", X"6c", X"65", X"20", X"74", X"69", X"6d", X"65",
  X"3a", X"20", X"4a", X"75", X"6e", X"20", X"31", X"36",
  X"3a", X"20", X"4f", X"63", X"74", X"20", X"32", X"37",
  X"20", X"32", X"30", X"31", X"32", X"20", X"2d", X"2d",
  X"20", X"32", X"30", X"31", X"32", X"20", X"2d", X"2d",
  X"20", X"30", X"38", X"3a", X"34", X"31", X"3a", X"35",
  X"20", X"30", X"30", X"3a", X"34", X"36", X"3a", X"30",
  X"38", X"0a", X"00", X"00", X"67", X"63", X"63", X"20",
  X"34", X"0a", X"00", X"00", X"67", X"63", X"63", X"20",
  X"76", X"65", X"72", X"73", X"69", X"6f", X"6e", X"3a",
  X"76", X"65", X"72", X"73", X"69", X"6f", X"6e", X"3a",
  X"20", X"20", X"34", X"2e", X"35", X"2e", X"32", X"0a",
  X"20", X"20", X"34", X"2e", X"35", X"2e", X"32", X"0a",
  X"00", X"00", X"00", X"00", X"0a", X"0a", X"48", X"65",
  X"00", X"00", X"00", X"00", X"0a", X"0a", X"48", X"65",
  X"6c", X"6c", X"6f", X"20", X"57", X"6f", X"72", X"6c",
  X"6c", X"6c", X"6f", X"20", X"57", X"6f", X"72", X"6c",
  X"64", X"21", X"0a", X"0a", X"0a", X"00", X"00", X"00",
  X"64", X"21", X"0a", X"0a", X"0a", X"00", X"00", X"00",
  X"28", X"6e", X"75", X"6c", X"6c", X"29", X"00" );
  X"28", X"6e", X"75", X"6c", X"6c", X"29", X"00", X"00"
 
  );
 
 
 
 
 
 
end package obj_code_pkg;
end package obj_code_pkg;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.