OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [SoC/] [mips_soc.vhdl] - Diff between revs 234 and 242

Show entire file | Details | Blame | View Log

Rev 234 Rev 242
Line 138... Line 138...
signal cpu_code_rd :        t_word;
signal cpu_code_rd :        t_word;
signal cpu_code_rd_vma :    std_logic;
signal cpu_code_rd_vma :    std_logic;
signal cpu_data_wr :        t_word;
signal cpu_data_wr :        t_word;
signal cpu_byte_we :        std_logic_vector(3 downto 0);
signal cpu_byte_we :        std_logic_vector(3 downto 0);
signal cpu_mem_wait :       std_logic;
signal cpu_mem_wait :       std_logic;
 
signal cpu_cache_ready :    std_logic;
signal cpu_ic_invalidate :  std_logic;
signal cpu_ic_invalidate :  std_logic;
signal cpu_cache_enable :   std_logic;
signal cpu_cache_enable :   std_logic;
signal unmapped_access :    std_logic;
signal unmapped_access :    std_logic;
 
 
-- Interface to i/o
-- Interface to i/o
Line 194... Line 195...
 
 
        data_wr     => cpu_data_wr,
        data_wr     => cpu_data_wr,
        byte_we     => cpu_byte_we,
        byte_we     => cpu_byte_we,
 
 
        mem_wait    => cpu_mem_wait,
        mem_wait    => cpu_mem_wait,
 
        cache_ready => cpu_cache_ready,
        cache_enable=> cpu_cache_enable,
        cache_enable=> cpu_cache_enable,
        ic_invalidate=>cpu_ic_invalidate,
        ic_invalidate=>cpu_ic_invalidate,
 
 
        clk         => clk,
        clk         => clk,
        reset       => reset
        reset       => reset
Line 223... Line 225...
 
 
        byte_we         => cpu_byte_we,
        byte_we         => cpu_byte_we,
        data_wr         => cpu_data_wr,
        data_wr         => cpu_data_wr,
 
 
        mem_wait        => cpu_mem_wait,
        mem_wait        => cpu_mem_wait,
 
        cache_ready     => cpu_cache_ready,
        cache_enable    => cpu_cache_enable,
        cache_enable    => cpu_cache_enable,
        ic_invalidate   => cpu_ic_invalidate,
        ic_invalidate   => cpu_ic_invalidate,
        unmapped        => unmapped_access,
        unmapped        => unmapped_access,
 
 
        -- interface to FPGA i/o devices
        -- interface to FPGA i/o devices

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.