OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [demo/] [c2sb_demo.csv] - Diff between revs 2 and 115

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 115
Line 11... Line 11...
# programming logic devices manufactured by Altera and sold by
# programming logic devices manufactured by Altera and sold by
# Altera or its authorized distributors.  Please refer to the
# Altera or its authorized distributors.  Please refer to the
# applicable agreement for further details.
# applicable agreement for further details.
 
 
# Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
# Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
# File: C:\altera\Kits\CycloneII_Starter_Kit-v1.0.0\proyectos\demo_tutorial\pin_assignment\c2sb_demo.csv
# File: C:\desarrollo\fpga\proyectos\ion\vhdl\demo\c2sb_demo.csv
# Generated on: Sun Jul 19 05:05:49 2009
# Generated on: Mon Apr 04 11:28:33 2011
 
 
# Note: The column header names should not be changed if you wish to import this .csv file into the Quartus II software.
# Note: The column header names should not be changed if you wish to import this .csv file into the Quartus II software.
 
 
To,Direction,Location,I/O Bank,VREF Group,I/O Standard,Reserved,Group,Current Strength,PCB layer
From,To,Assignment Name,Value,Enabled
buttons[3],Input,PIN_T21,6,B6_N0,,,buttons[3..0],,
,buttons[3],Location,PIN_T21,Yes
buttons[2],Input,PIN_T22,6,B6_N0,,,buttons[3..0],,
,buttons[2],Location,PIN_T22,Yes
buttons[1],Input,PIN_R21,6,B6_N0,,,buttons[3..0],,
,buttons[1],Location,PIN_R21,Yes
buttons[0],Input,PIN_R22,6,B6_N0,,,buttons[3..0],,
,buttons[0],Location,PIN_R22,Yes
flash_addr[21],Output,PIN_R13,7,B7_N0,,,flash_addr[21..0],,
,flash_addr[21],Location,PIN_R13,Yes
flash_addr[20],Output,PIN_U13,7,B7_N1,,,flash_addr[21..0],,
,flash_addr[20],Location,PIN_U13,Yes
flash_addr[19],Output,PIN_V14,7,B7_N1,,,flash_addr[21..0],,
,flash_addr[19],Location,PIN_V14,Yes
flash_addr[18],Output,PIN_U14,7,B7_N0,,,flash_addr[21..0],,
,flash_addr[18],Location,PIN_U14,Yes
flash_addr[17],Output,PIN_AA20,7,B7_N0,,,flash_addr[21..0],,
,flash_addr[17],Location,PIN_AA20,Yes
flash_addr[16],Output,PIN_AB12,7,B7_N1,,,flash_addr[21..0],,
,flash_addr[16],Location,PIN_AB12,Yes
flash_addr[15],Output,PIN_AA12,7,B7_N1,,,flash_addr[21..0],,
,flash_addr[15],Location,PIN_AA12,Yes
flash_addr[14],Output,PIN_AB13,7,B7_N1,,,flash_addr[21..0],,
,flash_addr[14],Location,PIN_AB13,Yes
flash_addr[13],Output,PIN_AA13,7,B7_N1,,,flash_addr[21..0],,
,flash_addr[13],Location,PIN_AA13,Yes
flash_addr[12],Output,PIN_AB14,7,B7_N1,,,flash_addr[21..0],,
,flash_addr[12],Location,PIN_AB14,Yes
flash_addr[11],Output,PIN_T12,7,B7_N1,,,flash_addr[21..0],,
,flash_addr[11],Location,PIN_T12,Yes
flash_addr[10],Output,PIN_R12,7,B7_N1,,,flash_addr[21..0],,
,flash_addr[10],Location,PIN_R12,Yes
flash_addr[9],Output,PIN_Y13,7,B7_N1,,,flash_addr[21..0],,
,flash_addr[9],Location,PIN_Y13,Yes
flash_addr[8],Output,PIN_R14,7,B7_N0,,,flash_addr[21..0],,
,flash_addr[8],Location,PIN_R14,Yes
flash_addr[7],Output,PIN_W15,7,B7_N0,,,flash_addr[21..0],,
,flash_addr[7],Location,PIN_W15,Yes
flash_addr[6],Output,PIN_V15,7,B7_N0,,,flash_addr[21..0],,
,flash_addr[6],Location,PIN_V15,Yes
flash_addr[5],Output,PIN_U15,7,B7_N0,,,flash_addr[21..0],,
,flash_addr[5],Location,PIN_U15,Yes
flash_addr[4],Output,PIN_T15,7,B7_N0,,,flash_addr[21..0],,
,flash_addr[4],Location,PIN_T15,Yes
flash_addr[3],Output,PIN_R15,7,B7_N0,,,flash_addr[21..0],,
,flash_addr[3],Location,PIN_R15,Yes
flash_addr[2],Output,PIN_Y16,7,B7_N0,,,flash_addr[21..0],,
,flash_addr[2],Location,PIN_Y16,Yes
flash_addr[1],Output,PIN_AA14,7,B7_N1,,,flash_addr[21..0],,
,flash_addr[1],Location,PIN_AA14,Yes
flash_addr[0],Output,PIN_AB20,7,B7_N0,,,flash_addr[21..0],,
,flash_addr[0],Location,PIN_AB20,Yes
flash_data[7],Input,PIN_AA19,7,B7_N0,,,flash_data[7..0],,
,flash_data[7],Location,PIN_AA19,Yes
flash_data[6],Input,PIN_AB19,7,B7_N0,,,flash_data[7..0],,
,flash_data[6],Location,PIN_AB19,Yes
flash_data[5],Input,PIN_AA18,7,B7_N0,,,flash_data[7..0],,
,flash_data[5],Location,PIN_AA18,Yes
flash_data[4],Input,PIN_AB18,7,B7_N0,,,flash_data[7..0],,
,flash_data[4],Location,PIN_AB18,Yes
flash_data[3],Input,PIN_AA17,7,B7_N1,,,flash_data[7..0],,
,flash_data[3],Location,PIN_AA17,Yes
flash_data[2],Input,PIN_AB17,7,B7_N1,,,flash_data[7..0],,
,flash_data[2],Location,PIN_AB17,Yes
flash_data[1],Input,PIN_AA16,7,B7_N1,,,flash_data[7..0],,
,flash_data[1],Location,PIN_AA16,Yes
flash_data[0],Input,PIN_AB16,7,B7_N1,,,flash_data[7..0],,
,flash_data[0],Location,PIN_AB16,Yes
flash_oe_n,Output,PIN_AA15,7,B7_N1,,,,,
,flash_oe_n,Location,PIN_AA15,Yes
flash_reset_n,Output,PIN_W14,7,B7_N1,,,,,
,flash_reset_n,Location,PIN_W14,Yes
flash_we_n,Output,PIN_Y14,7,B7_N0,,,,,
,flash_we_n,Location,PIN_Y14,Yes
green_leds[7],Output,PIN_Y21,6,B6_N1,,,green_leds[7..0],,
,green_leds[7],Location,PIN_Y21,Yes
green_leds[6],Output,PIN_Y22,6,B6_N1,,,green_leds[7..0],,
,green_leds[6],Location,PIN_Y22,Yes
green_leds[5],Output,PIN_W21,6,B6_N1,,,green_leds[7..0],,
,green_leds[5],Location,PIN_W21,Yes
green_leds[4],Output,PIN_W22,6,B6_N1,,,green_leds[7..0],,
,green_leds[4],Location,PIN_W22,Yes
green_leds[3],Output,PIN_V21,6,B6_N1,,,green_leds[7..0],,
,green_leds[3],Location,PIN_V21,Yes
green_leds[2],Output,PIN_V22,6,B6_N1,,,green_leds[7..0],,
,green_leds[2],Location,PIN_V22,Yes
green_leds[1],Output,PIN_U21,6,B6_N1,,,green_leds[7..0],,
,green_leds[1],Location,PIN_U21,Yes
green_leds[0],Output,PIN_U22,6,B6_N1,,,green_leds[7..0],,
,green_leds[0],Location,PIN_U22,Yes
hex0[0],Output,PIN_J2,2,B2_N1,3.3-V LVTTL,,hex0[0..6],,
,hex0[0],Location,PIN_J2,Yes
hex0[1],Output,PIN_J1,2,B2_N1,3.3-V LVTTL,,hex0[0..6],,
,hex0[0],I/O Standard,3.3-V LVTTL,Yes
hex0[2],Output,PIN_H2,2,B2_N1,3.3-V LVTTL,,hex0[0..6],,
,hex0[1],Location,PIN_J1,Yes
hex0[3],Output,PIN_H1,2,B2_N1,3.3-V LVTTL,,hex0[0..6],,
,hex0[1],I/O Standard,3.3-V LVTTL,Yes
hex0[4],Output,PIN_F2,2,B2_N1,3.3-V LVTTL,,hex0[0..6],,
,hex0[2],Location,PIN_H2,Yes
hex0[5],Output,PIN_F1,2,B2_N1,3.3-V LVTTL,,hex0[0..6],,
,hex0[2],I/O Standard,3.3-V LVTTL,Yes
hex0[6],Output,PIN_E2,2,B2_N1,3.3-V LVTTL,,hex0[0..6],,
,hex0[3],Location,PIN_H1,Yes
hex1[0],Output,PIN_E1,2,B2_N1,3.3-V LVTTL,,hex1[0..6],,
,hex0[3],I/O Standard,3.3-V LVTTL,Yes
hex1[1],Output,PIN_H6,2,B2_N0,3.3-V LVTTL,,hex1[0..6],,
,hex0[4],Location,PIN_F2,Yes
hex1[2],Output,PIN_H5,2,B2_N0,3.3-V LVTTL,,hex1[0..6],,
,hex0[4],I/O Standard,3.3-V LVTTL,Yes
hex1[3],Output,PIN_H4,2,B2_N0,3.3-V LVTTL,,hex1[0..6],,
,hex0[5],Location,PIN_F1,Yes
hex1[4],Output,PIN_G3,2,B2_N0,3.3-V LVTTL,,hex1[0..6],,
,hex0[5],I/O Standard,3.3-V LVTTL,Yes
hex1[5],Output,PIN_D2,2,B2_N0,3.3-V LVTTL,,hex1[0..6],,
,hex0[6],Location,PIN_E2,Yes
hex1[6],Output,PIN_D1,2,B2_N0,3.3-V LVTTL,,hex1[0..6],,
,hex0[6],I/O Standard,3.3-V LVTTL,Yes
hex2[0],Output,PIN_G5,2,B2_N0,3.3-V LVTTL,,hex2[0..6],,
,hex1[0],Location,PIN_E1,Yes
hex2[1],Output,PIN_G6,2,B2_N0,3.3-V LVTTL,,hex2[0..6],,
,hex1[0],I/O Standard,3.3-V LVTTL,Yes
hex2[2],Output,PIN_C2,2,B2_N0,3.3-V LVTTL,,hex2[0..6],,
,hex1[1],Location,PIN_H6,Yes
hex2[3],Output,PIN_C1,2,B2_N0,3.3-V LVTTL,,hex2[0..6],,
,hex1[1],I/O Standard,3.3-V LVTTL,Yes
hex2[4],Output,PIN_E3,2,B2_N0,3.3-V LVTTL,,hex2[0..6],,
,hex1[2],Location,PIN_H5,Yes
hex2[5],Output,PIN_E4,2,B2_N0,3.3-V LVTTL,,hex2[0..6],,
,hex1[2],I/O Standard,3.3-V LVTTL,Yes
hex2[6],Output,PIN_D3,2,B2_N0,3.3-V LVTTL,,hex2[0..6],,
,hex1[3],Location,PIN_H4,Yes
hex3[0],Output,PIN_F4,2,B2_N0,3.3-V LVTTL,,hex3[0..6],,
,hex1[3],I/O Standard,3.3-V LVTTL,Yes
hex3[1],Output,PIN_D5,2,B2_N0,3.3-V LVTTL,,hex3[0..6],,
,hex1[4],Location,PIN_G3,Yes
hex3[2],Output,PIN_D6,2,B2_N0,3.3-V LVTTL,,hex3[0..6],,
,hex1[4],I/O Standard,3.3-V LVTTL,Yes
hex3[3],Output,PIN_J4,2,B2_N1,3.3-V LVTTL,,hex3[0..6],,
,hex1[5],Location,PIN_D2,Yes
hex3[4],Output,PIN_L8,2,B2_N1,3.3-V LVTTL,,hex3[0..6],,
,hex1[5],I/O Standard,3.3-V LVTTL,Yes
hex3[5],Output,PIN_F3,2,B2_N0,3.3-V LVTTL,,hex3[0..6],,
,hex1[6],Location,PIN_D1,Yes
hex3[6],Output,PIN_D4,2,B2_N0,3.3-V LVTTL,,hex3[0..6],,
,hex1[6],I/O Standard,3.3-V LVTTL,Yes
red_leds[9],Output,PIN_R17,6,B6_N1,,,red_leds[9..0],,
,hex2[0],Location,PIN_G5,Yes
red_leds[8],Output,PIN_R18,6,B6_N0,,,red_leds[9..0],,
,hex2[0],I/O Standard,3.3-V LVTTL,Yes
red_leds[7],Output,PIN_U18,6,B6_N1,,,red_leds[9..0],,
,hex2[1],Location,PIN_G6,Yes
red_leds[6],Output,PIN_Y18,6,B6_N1,,,red_leds[9..0],,
,hex2[1],I/O Standard,3.3-V LVTTL,Yes
red_leds[5],Output,PIN_V19,6,B6_N1,,,red_leds[9..0],,
,hex2[2],Location,PIN_C2,Yes
red_leds[4],Output,PIN_T18,6,B6_N1,,,red_leds[9..0],,
,hex2[2],I/O Standard,3.3-V LVTTL,Yes
red_leds[3],Output,PIN_Y19,6,B6_N1,,,red_leds[9..0],,
,hex2[3],Location,PIN_C1,Yes
red_leds[2],Output,PIN_U19,6,B6_N1,,,red_leds[9..0],,
,hex2[3],I/O Standard,3.3-V LVTTL,Yes
red_leds[1],Output,PIN_R19,6,B6_N0,,,red_leds[9..0],,
,hex2[4],Location,PIN_E3,Yes
red_leds[0],Output,PIN_R20,6,B6_N0,,,red_leds[9..0],,
,hex2[4],I/O Standard,3.3-V LVTTL,Yes
rxd,Input,PIN_F14,4,B4_N1,,,,,
,hex2[5],Location,PIN_E4,Yes
sd_clk,Output,PIN_V20,6,B6_N1,,,,,
,hex2[5],I/O Standard,3.3-V LVTTL,Yes
sd_cmd,Output,PIN_Y20,6,B6_N1,,,,,
,hex2[6],Location,PIN_D3,Yes
sd_cs,Output,PIN_U20,6,B6_N1,,,,,
,hex2[6],I/O Standard,3.3-V LVTTL,Yes
sd_data,Input,PIN_W20,6,B6_N1,,,,,
,hex3[0],Location,PIN_F4,Yes
sram_addr[17],Output,PIN_Y5,8,B8_N1,,,sram_addr[17..0],,
,hex3[0],I/O Standard,3.3-V LVTTL,Yes
sram_addr[16],Output,PIN_Y6,8,B8_N1,,,sram_addr[17..0],,
,hex3[1],Location,PIN_D5,Yes
sram_addr[15],Output,PIN_T7,8,B8_N1,,,sram_addr[17..0],,
,hex3[1],I/O Standard,3.3-V LVTTL,Yes
sram_addr[14],Output,PIN_R10,8,B8_N0,,,sram_addr[17..0],,
,hex3[2],Location,PIN_D6,Yes
sram_addr[13],Output,PIN_U10,8,B8_N0,,,sram_addr[17..0],,
,hex3[2],I/O Standard,3.3-V LVTTL,Yes
sram_addr[12],Output,PIN_Y10,8,B8_N0,,,sram_addr[17..0],,
,hex3[3],Location,PIN_J4,Yes
sram_addr[11],Output,PIN_T11,8,B8_N0,,,sram_addr[17..0],,
,hex3[3],I/O Standard,3.3-V LVTTL,Yes
sram_addr[10],Output,PIN_R11,8,B8_N0,,,sram_addr[17..0],,
,hex3[4],Location,PIN_L8,Yes
sram_addr[9],Output,PIN_W11,8,B8_N0,,,sram_addr[17..0],,
,hex3[4],I/O Standard,3.3-V LVTTL,Yes
sram_addr[8],Output,PIN_V11,8,B8_N0,,,sram_addr[17..0],,
,hex3[5],Location,PIN_F3,Yes
sram_addr[7],Output,PIN_AB11,8,B8_N0,,,sram_addr[17..0],,
,hex3[5],I/O Standard,3.3-V LVTTL,Yes
sram_addr[6],Output,PIN_AA11,8,B8_N0,,,sram_addr[17..0],,
,hex3[6],Location,PIN_D4,Yes
sram_addr[5],Output,PIN_AB10,8,B8_N0,,,sram_addr[17..0],,
,hex3[6],I/O Standard,3.3-V LVTTL,Yes
sram_addr[4],Output,PIN_AA5,8,B8_N1,,,sram_addr[17..0],,
,red_leds[9],Location,PIN_R17,Yes
sram_addr[3],Output,PIN_AB4,8,B8_N1,,,sram_addr[17..0],,
,red_leds[8],Location,PIN_R18,Yes
sram_addr[2],Output,PIN_AA4,8,B8_N1,,,sram_addr[17..0],,
,red_leds[7],Location,PIN_U18,Yes
sram_addr[1],Output,PIN_AB3,8,B8_N1,,,sram_addr[17..0],,
,red_leds[6],Location,PIN_Y18,Yes
sram_addr[0],Output,PIN_AA3,8,B8_N1,,,sram_addr[17..0],,
,red_leds[5],Location,PIN_V19,Yes
sram_ce_n,Output,PIN_AB5,8,B8_N1,,,,,
,red_leds[4],Location,PIN_T18,Yes
sram_data[15],Bidir,PIN_U8,8,B8_N1,,,sram_data[15..0],,
,red_leds[3],Location,PIN_Y19,Yes
sram_data[14],Bidir,PIN_V8,8,B8_N1,,,sram_data[15..0],,
,red_leds[2],Location,PIN_U19,Yes
sram_data[13],Bidir,PIN_W8,8,B8_N1,,,sram_data[15..0],,
,red_leds[1],Location,PIN_R19,Yes
sram_data[12],Bidir,PIN_R9,8,B8_N0,,,sram_data[15..0],,
,red_leds[0],Location,PIN_R20,Yes
sram_data[11],Bidir,PIN_U9,8,B8_N0,,,sram_data[15..0],,
,rxd,Location,PIN_F14,Yes
sram_data[10],Bidir,PIN_V9,8,B8_N1,,,sram_data[15..0],,
,sd_clk,Location,PIN_V20,Yes
sram_data[9],Bidir,PIN_W9,8,B8_N0,,,sram_data[15..0],,
,sd_cmd,Location,PIN_Y20,Yes
sram_data[8],Bidir,PIN_Y9,8,B8_N0,,,sram_data[15..0],,
,sd_cs,Location,PIN_U20,Yes
sram_data[7],Bidir,PIN_AB9,8,B8_N0,,,sram_data[15..0],,
,sd_data,Location,PIN_W20,Yes
sram_data[6],Bidir,PIN_AA9,8,B8_N0,,,sram_data[15..0],,
,sram_addr[17],Location,PIN_Y5,Yes
sram_data[5],Bidir,PIN_AB8,8,B8_N0,,,sram_data[15..0],,
,sram_addr[16],Location,PIN_Y6,Yes
sram_data[4],Bidir,PIN_AA8,8,B8_N0,,,sram_data[15..0],,
,sram_addr[15],Location,PIN_T7,Yes
sram_data[3],Bidir,PIN_AB7,8,B8_N1,,,sram_data[15..0],,
,sram_addr[14],Location,PIN_R10,Yes
sram_data[2],Bidir,PIN_AA7,8,B8_N1,,,sram_data[15..0],,
,sram_addr[13],Location,PIN_U10,Yes
sram_data[1],Bidir,PIN_AB6,8,B8_N1,,,sram_data[15..0],,
,sram_addr[12],Location,PIN_Y10,Yes
sram_data[0],Bidir,PIN_AA6,8,B8_N1,,,sram_data[15..0],,
,sram_addr[11],Location,PIN_T11,Yes
sram_lb_n,Output,PIN_Y7,8,B8_N1,,,,,
,sram_addr[10],Location,PIN_R11,Yes
sram_oe_n,Output,PIN_T8,8,B8_N1,,,,,
,sram_addr[9],Location,PIN_W11,Yes
sram_ub_n,Output,PIN_W7,8,B8_N1,,,,,
,sram_addr[8],Location,PIN_V11,Yes
sram_we_n,Output,PIN_AA10,8,B8_N0,,,,,
,sram_addr[7],Location,PIN_AB11,Yes
switches[9],Input,PIN_L2,2,B2_N1,,,switches[9..0],,
,sram_addr[6],Location,PIN_AA11,Yes
switches[8],Input,PIN_M1,1,B1_N0,,,switches[9..0],,
,sram_addr[5],Location,PIN_AB10,Yes
switches[7],Input,PIN_M2,1,B1_N0,,,switches[9..0],,
,sram_addr[4],Location,PIN_AA5,Yes
switches[6],Input,PIN_U11,8,B8_N0,,,switches[9..0],,
,sram_addr[3],Location,PIN_AB4,Yes
switches[5],Input,PIN_U12,8,B8_N0,,,switches[9..0],,
,sram_addr[2],Location,PIN_AA4,Yes
switches[4],Input,PIN_W12,7,B7_N1,,,switches[9..0],,
,sram_addr[1],Location,PIN_AB3,Yes
switches[3],Input,PIN_V12,7,B7_N1,,,switches[9..0],,
,sram_addr[0],Location,PIN_AA3,Yes
switches[2],Input,PIN_M22,6,B6_N0,,,switches[9..0],,
,sram_ce_n,Location,PIN_AB5,Yes
switches[1],Input,PIN_L21,5,B5_N1,,,switches[9..0],,
,sram_data[15],Location,PIN_U8,Yes
switches[0],Input,PIN_L22,5,B5_N1,,,switches[9..0],,
,sram_data[14],Location,PIN_V8,Yes
txd,Output,PIN_G12,4,B4_N1,,,,,
,sram_data[13],Location,PIN_W8,Yes
clk_50MHz,Input,PIN_L1,2,B2_N1,,,,,
,sram_data[12],Location,PIN_R9,Yes
,Unknown,PIN_F5,,,,,,,
,sram_data[11],Location,PIN_U9,Yes
,Unknown,PIN_E5,,,,,,,
,sram_data[10],Location,PIN_V9,Yes
,Unknown,PIN_F6,,,,,,,
,sram_data[9],Location,PIN_W9,Yes
,Unknown,PIN_C4,2,B2_N0,,,,,
,sram_data[8],Location,PIN_Y9,Yes
,Unknown,PIN_C3,2,B2_N0,,,,,
,sram_data[7],Location,PIN_AB9,Yes
,Unknown,PIN_H3,2,B2_N1,,,,,
,sram_data[6],Location,PIN_AA9,Yes
,Unknown,PIN_K5,2,B2_N1,,,,,
,sram_data[5],Location,PIN_AB8,Yes
,Unknown,PIN_K2,2,B2_N1,,,,,
,sram_data[4],Location,PIN_AA8,Yes
,Unknown,PIN_K6,2,B2_N1,,,,,
,sram_data[3],Location,PIN_AB7,Yes
,Unknown,PIN_L5,2,B2_N1,,,,,
,sram_data[2],Location,PIN_AA7,Yes
,Unknown,PIN_L6,2,B2_N1,,,,,
,sram_data[1],Location,PIN_AB6,Yes
,Unknown,PIN_K4,2,B2_N1,,,,,
,sram_data[0],Location,PIN_AA6,Yes
,Unknown,PIN_K1,2,B2_N1,,,,,
,sram_lb_n,Location,PIN_Y7,Yes
,Unknown,PIN_L4,2,B2_N1,,,,,
,sram_oe_n,Location,PIN_T8,Yes
,Unknown,PIN_M5,1,B1_N0,,,,,
,sram_ub_n,Location,PIN_W7,Yes
,Unknown,PIN_M6,1,B1_N0,,,,,
,sram_we_n,Location,PIN_AA10,Yes
,Unknown,PIN_N1,1,B1_N0,,,,,
,switches[9],Location,PIN_L2,Yes
,Unknown,PIN_N2,1,B1_N0,,,,,
,switches[8],Location,PIN_M1,Yes
,Unknown,PIN_P1,1,B1_N0,,,,,
,switches[7],Location,PIN_M2,Yes
,Unknown,PIN_P2,1,B1_N0,,,,,
,switches[6],Location,PIN_U11,Yes
,Unknown,PIN_N6,1,B1_N0,,,,,
,switches[5],Location,PIN_U12,Yes
,Unknown,PIN_P3,1,B1_N0,,,,,
,switches[4],Location,PIN_W12,Yes
,Unknown,PIN_N3,1,B1_N0,,,,,
,switches[3],Location,PIN_V12,Yes
,Unknown,PIN_N4,1,B1_N0,,,,,
,switches[2],Location,PIN_M22,Yes
,Unknown,PIN_R8,1,B1_N0,,,,,
,switches[1],Location,PIN_L21,Yes
,Unknown,PIN_R7,1,B1_N0,,,,,
,switches[0],Location,PIN_L22,Yes
,Unknown,PIN_P5,1,B1_N0,,,,,
,txd,Location,PIN_G12,Yes
,Unknown,PIN_P6,1,B1_N0,,,,,
,clk_50MHz,Location,PIN_L1,Yes
,Unknown,PIN_R1,1,B1_N0,,,,,
,clk_27MHz,Location,PIN_D12,Yes
,Unknown,PIN_R2,1,B1_N0,,,,,
,clk_50MHz,Clock Settings,S50,Yes
,Unknown,PIN_T1,1,B1_N0,,,,,
,clk_27MHz,Clock Settings,S27,Yes
,Unknown,PIN_T2,1,B1_N0,,,,,
,|,Partition Hierarchy,root_partition,Yes
,Unknown,PIN_U1,1,B1_N1,,,,,
 
,Unknown,PIN_U2,1,B1_N1,,,,,
 
,Unknown,PIN_R5,1,B1_N1,,,,,
 
,Unknown,PIN_R6,1,B1_N1,,,,,
 
,Unknown,PIN_V1,1,B1_N1,,,,,
 
,Unknown,PIN_V2,1,B1_N1,,,,,
 
,Unknown,PIN_T5,1,B1_N1,,,,,
 
,Unknown,PIN_T6,1,B1_N1,,,,,
 
,Unknown,PIN_T3,1,B1_N1,,,,,
 
,Unknown,PIN_U3,1,B1_N1,,,,,
 
,Unknown,PIN_W1,1,B1_N1,,,,,
 
,Unknown,PIN_W2,1,B1_N1,,,,,
 
,Unknown,PIN_Y1,1,B1_N1,,,,,
 
,Unknown,PIN_Y2,1,B1_N1,,,,,
 
,Unknown,PIN_W3,1,B1_N1,,,,,
 
,Unknown,PIN_W4,1,B1_N1,,,,,
 
,Unknown,PIN_Y3,1,B1_N1,,,,,
 
,Unknown,PIN_Y4,1,B1_N1,,,,,
 
,Unknown,PIN_W5,1,B1_N1,,,,,
 
,Unknown,PIN_U4,1,B1_N1,,,,,
 
,Unknown,PIN_V4,1,B1_N1,,,,,
 
,Unknown,PIN_U5,,,,,,,
 
,Unknown,PIN_U6,,,,,,,
 
,Unknown,PIN_V5,,,,,,,
 
,Unknown,PIN_U7,,,,,,,
 
,Unknown,PIN_V7,,,,,,,
 
,Unknown,PIN_P9,8,B8_N1,,,,,
 
,Unknown,PIN_P8,,,,,,,
 
,Unknown,PIN_AB15,7,B7_N1,,,,,
 
,Unknown,PIN_R16,7,B7_N0,,,,,
 
,Unknown,PIN_T16,7,B7_N0,,,,,
 
,Unknown,PIN_Y17,7,B7_N0,,,,,
 
,Unknown,PIN_W16,7,B7_N0,,,,,
 
,Unknown,PIN_V16,,,,,,,
 
,Unknown,PIN_U16,,,,,,,
 
,Unknown,PIN_V18,,,,,,,
 
,Unknown,PIN_U17,,,,,,,
 
,Unknown,PIN_T17,,,,,,,
 
,Unknown,PIN_P17,6,B6_N0,,,,,
 
,Unknown,PIN_P18,6,B6_N0,,,,,
 
,Unknown,PIN_P15,6,B6_N0,,,,,
 
,Unknown,PIN_N15,6,B6_N0,,,,,
 
,Unknown,PIN_N20,6,B6_N0,,,,,
 
,Unknown,PIN_N18,6,B6_N0,,,,,
 
,Unknown,PIN_N17,6,B6_N0,,,,,
 
,Unknown,PIN_M17,6,B6_N0,,,,,
 
,Unknown,PIN_N21,6,B6_N0,,,,,
 
,Unknown,PIN_N22,6,B6_N0,,,,,
 
,Unknown,PIN_M19,6,B6_N0,,,,,
 
,Unknown,PIN_M18,6,B6_N0,,,,,
 
,Unknown,PIN_M21,6,B6_N0,,,,,
 
,Unknown,PIN_L19,5,B5_N1,,,,,
 
,Unknown,PIN_L18,5,B5_N1,,,,,
 
,Unknown,PIN_K21,5,B5_N1,,,,,
 
,Unknown,PIN_K22,5,B5_N1,,,,,
 
,Unknown,PIN_J21,5,B5_N1,,,,,
 
,Unknown,PIN_J22,5,B5_N1,,,,,
 
,Unknown,PIN_J20,5,B5_N1,,,,,
 
,Unknown,PIN_H19,5,B5_N1,,,,,
 
,Unknown,PIN_K20,5,B5_N1,,,,,
 
,Unknown,PIN_J19,5,B5_N1,,,,,
 
,Unknown,PIN_J18,5,B5_N1,,,,,
 
,Unknown,PIN_J17,5,B5_N1,,,,,
 
,Unknown,PIN_H16,5,B5_N1,,,,,
 
,Unknown,PIN_J15,5,B5_N1,,,,,
 
,Unknown,PIN_G21,5,B5_N1,,,,,
 
,Unknown,PIN_G22,5,B5_N1,,,,,
 
,Unknown,PIN_F21,5,B5_N0,,,,,
 
,Unknown,PIN_F22,5,B5_N0,,,,,
 
,Unknown,PIN_H18,5,B5_N0,,,,,
 
,Unknown,PIN_H17,5,B5_N0,,,,,
 
,Unknown,PIN_E21,,,,,,,
 
,Unknown,PIN_E22,5,B5_N0,,,,,
 
,Unknown,PIN_D21,,,,,,,
 
,Unknown,PIN_D22,,,,,,,
 
,Unknown,PIN_G17,5,B5_N0,,,,,
 
,Unknown,PIN_G18,5,B5_N0,,,,,
 
,Unknown,PIN_G20,,,,,,,
 
,Unknown,PIN_E20,5,B5_N0,,,,,
 
,Unknown,PIN_F20,5,B5_N0,,,,,
 
,Unknown,PIN_C21,5,B5_N0,,,,,
 
,Unknown,PIN_C22,,,,,,,
 
,Unknown,PIN_C19,5,B5_N0,,,,,
 
,Unknown,PIN_C20,,,,,,,
 
,Unknown,PIN_D19,,,,,,,
 
,Unknown,PIN_D20,5,B5_N0,,,,,
 
,Unknown,PIN_E19,5,B5_N0,,,,,
 
,Unknown,PIN_E18,,,,,,,
 
,Unknown,PIN_F18,,,,,,,
 
,Unknown,PIN_F17,,,,,,,
 
,Unknown,PIN_E17,,,,,,,
 
,Unknown,PIN_F16,,,,,,,
 
,Unknown,PIN_E16,,,,,,,
 
,Unknown,PIN_C18,4,B4_N0,,,,,
 
,Unknown,PIN_C17,4,B4_N0,,,,,
 
,Unknown,PIN_B20,4,B4_N0,,,,,
 
,Unknown,PIN_A20,4,B4_N0,,,,,
 
,Unknown,PIN_B19,4,B4_N0,,,,,
 
,Unknown,PIN_A19,4,B4_N0,,,,,
 
,Unknown,PIN_B18,4,B4_N0,,,,,
 
,Unknown,PIN_A18,4,B4_N0,,,,,
 
,Unknown,PIN_G16,4,B4_N0,,,,,
 
,Unknown,PIN_H15,4,B4_N0,,,,,
 
,Unknown,PIN_C16,4,B4_N0,,,,,
 
,Unknown,PIN_D16,4,B4_N0,,,,,
 
,Unknown,PIN_E15,4,B4_N0,,,,,
 
,Unknown,PIN_H14,4,B4_N0,,,,,
 
,Unknown,PIN_J14,4,B4_N0,,,,,
 
,Unknown,PIN_D15,4,B4_N0,,,,,
 
,Unknown,PIN_C14,4,B4_N0,,,,,
 
,Unknown,PIN_G15,4,B4_N0,,,,,
 
,Unknown,PIN_F15,4,B4_N0,,,,,
 
,Unknown,PIN_H13,4,B4_N1,,,,,
 
,Unknown,PIN_B17,4,B4_N1,,,,,
 
,Unknown,PIN_A17,4,B4_N1,,,,,
 
,Unknown,PIN_E14,4,B4_N1,,,,,
 
,Unknown,PIN_D14,4,B4_N1,,,,,
 
,Unknown,PIN_F13,4,B4_N1,,,,,
 
,Unknown,PIN_B16,4,B4_N1,,,,,
 
,Unknown,PIN_A16,4,B4_N1,,,,,
 
,Unknown,PIN_B15,,,,,,,
 
,Unknown,PIN_A15,4,B4_N1,,,,,
 
,Unknown,PIN_H12,4,B4_N1,,,,,
 
,Unknown,PIN_C13,4,B4_N1,,,,,
 
,Unknown,PIN_F12,,,,,,,
 
,Unknown,PIN_B14,4,B4_N1,,,,,
 
,Unknown,PIN_A14,4,B4_N1,,,,,
 
,Unknown,PIN_B13,4,B4_N1,,,,,
 
,Unknown,PIN_A13,4,B4_N1,,,,,
 
,Unknown,PIN_B12,4,B4_N1,,,,,
 
,Unknown,PIN_A12,,,,,,,
 
,Unknown,PIN_D12,3,B3_N0,,,,,
 
,Unknown,PIN_E12,3,B3_N0,,,,,
 
,Unknown,PIN_B11,3,B3_N0,,,,,
 
,Unknown,PIN_A11,,,,,,,
 
,Unknown,PIN_E11,3,B3_N0,,,,,
 
,Unknown,PIN_D11,3,B3_N0,,,,,
 
,Unknown,PIN_H11,,,,,,,
 
,Unknown,PIN_G11,3,B3_N0,,,,,
 
,Unknown,PIN_B10,3,B3_N0,,,,,
 
,Unknown,PIN_A10,3,B3_N0,,,,,
 
,Unknown,PIN_F11,,,,,,,
 
,Unknown,PIN_F10,3,B3_N0,,,,,
 
,Unknown,PIN_C10,,,,,,,
 
,Unknown,PIN_B9,3,B3_N0,,,,,
 
,Unknown,PIN_A9,3,B3_N0,,,,,
 
,Unknown,PIN_H10,,,,,,,
 
,Unknown,PIN_H9,,,,,,,
 
,Unknown,PIN_E9,3,B3_N0,,,,,
 
,Unknown,PIN_D9,3,B3_N0,,,,,
 
,Unknown,PIN_B8,3,B3_N0,,,,,
 
,Unknown,PIN_A8,,,,,,,
 
,Unknown,PIN_B7,3,B3_N1,,,,,
 
,Unknown,PIN_A7,3,B3_N1,,,,,
 
,Unknown,PIN_F9,3,B3_N1,,,,,
 
,Unknown,PIN_E8,3,B3_N1,,,,,
 
,Unknown,PIN_D8,3,B3_N1,,,,,
 
,Unknown,PIN_C9,,,,,,,
 
,Unknown,PIN_D7,3,B3_N1,,,,,
 
,Unknown,PIN_F8,3,B3_N1,,,,,
 
,Unknown,PIN_G8,3,B3_N1,,,,,
 
,Unknown,PIN_H8,3,B3_N1,,,,,
 
,Unknown,PIN_C7,3,B3_N1,,,,,
 
,Unknown,PIN_E7,3,B3_N1,,,,,
 
,Unknown,PIN_G7,3,B3_N1,,,,,
 
,Unknown,PIN_H7,3,B3_N1,,,,,
 
,Unknown,PIN_B6,3,B3_N1,,,,,
 
,Unknown,PIN_A6,3,B3_N1,,,,,
 
,Unknown,PIN_B5,3,B3_N1,,,,,
 
,Unknown,PIN_A5,3,B3_N1,,,,,
 
,Unknown,PIN_B4,3,B3_N1,,,,,
 
,Unknown,PIN_A4,3,B3_N1,,,,,
 
,Unknown,PIN_A3,3,B3_N1,,,,,
 
,Unknown,PIN_B3,3,B3_N1,,,,,
 
,Unknown,PIN_F7,,,,,,,
 
,Unknown,PIN_E6,,,,,,,
 
,Unknown,PIN_J10,,,,,,,
 
,Unknown,PIN_J11,,,,,,,
 
,Unknown,PIN_J12,,,,,,,
 
,Unknown,PIN_J13,,,,,,,
 
,Unknown,PIN_K9,,,,,,,
 
,Unknown,PIN_K14,,,,,,,
 
,Unknown,PIN_L9,,,,,,,
 
,Unknown,PIN_L14,,,,,,,
 
,Unknown,PIN_M9,,,,,,,
 
,Unknown,PIN_M14,,,,,,,
 
,Unknown,PIN_N9,,,,,,,
 
,Unknown,PIN_N14,,,,,,,
 
,Unknown,PIN_P10,,,,,,,
 
,Unknown,PIN_P11,,,,,,,
 
,Unknown,PIN_P12,,,,,,,
 
,Unknown,PIN_P13,,,,,,,
 
,Unknown,PIN_B1,2,,,,,,
 
,Unknown,PIN_J7,2,,,,,,
 
,Unknown,PIN_L3,2,,,,,,
 
,Unknown,PIN_AA1,,,,,,,
 
,Unknown,PIN_M3,1,,,,,,
 
,Unknown,PIN_P7,1,,,,,,
 
,Unknown,PIN_T4,1,,,,,,
 
,Unknown,PIN_AB2,8,,,,,,
 
,Unknown,PIN_T9,8,,,,,,
 
,Unknown,PIN_V10,,,,,,,
 
,Unknown,PIN_W6,8,,,,,,
 
,Unknown,PIN_Y11,8,,,,,,
 
,Unknown,PIN_AB21,7,,,,,,
 
,Unknown,PIN_T14,7,,,,,,
 
,Unknown,PIN_V13,7,,,,,,
 
,Unknown,PIN_W17,7,,,,,,
 
,Unknown,PIN_Y12,7,,,,,,
 
,Unknown,PIN_AA22,6,,,,,,
 
,Unknown,PIN_M20,6,,,,,,
 
,Unknown,PIN_P16,6,,,,,,
 
,Unknown,PIN_T19,6,,,,,,
 
,Unknown,PIN_B22,5,,,,,,
 
,Unknown,PIN_G19,5,,,,,,
 
,Unknown,PIN_J16,5,,,,,,
 
,Unknown,PIN_L20,5,,,,,,
 
,Unknown,PIN_A21,4,,,,,,
 
,Unknown,PIN_C12,4,,,,,,
 
,Unknown,PIN_D17,4,,,,,,
 
,Unknown,PIN_E13,4,,,,,,
 
,Unknown,PIN_G14,4,,,,,,
 
,Unknown,PIN_A2,3,,,,,,
 
,Unknown,PIN_C6,3,,,,,,
 
,Unknown,PIN_C11,3,,,,,,
 
,Unknown,PIN_E10,3,,,,,,
 
,Unknown,PIN_G9,3,,,,,,
 
,Unknown,PIN_K10,,,,,,,
 
,Unknown,PIN_K11,,,,,,,
 
,Unknown,PIN_K12,,,,,,,
 
,Unknown,PIN_K13,,,,,,,
 
,Unknown,PIN_L10,,,,,,,
 
,Unknown,PIN_L11,,,,,,,
 
,Unknown,PIN_L12,,,,,,,
 
,Unknown,PIN_L13,,,,,,,
 
,Unknown,PIN_M10,,,,,,,
 
,Unknown,PIN_M11,,,,,,,
 
,Unknown,PIN_M12,,,,,,,
 
,Unknown,PIN_M13,,,,,,,
 
,Unknown,PIN_N10,,,,,,,
 
,Unknown,PIN_N11,,,,,,,
 
,Unknown,PIN_N12,,,,,,,
 
,Unknown,PIN_N13,,,,,,,
 
,Unknown,PIN_A1,,,,,,,
 
,Unknown,PIN_A22,,,,,,,
 
,Unknown,PIN_AA2,,,,,,,
 
,Unknown,PIN_AA21,,,,,,,
 
,Unknown,PIN_AB1,,,,,,,
 
,Unknown,PIN_AB22,,,,,,,
 
,Unknown,PIN_B2,,,,,,,
 
,Unknown,PIN_B21,,,,,,,
 
,Unknown,PIN_C5,,,,,,,
 
,Unknown,PIN_C8,,,,,,,
 
,Unknown,PIN_C15,,,,,,,
 
,Unknown,PIN_D10,,,,,,,
 
,Unknown,PIN_D13,,,,,,,
 
,Unknown,PIN_D18,,,,,,,
 
,Unknown,PIN_F19,,,,,,,
 
,Unknown,PIN_G4,,,,,,,
 
,Unknown,PIN_G10,,,,,,,
 
,Unknown,PIN_G13,,,,,,,
 
,Unknown,PIN_H20,,,,,,,
 
,Unknown,PIN_K3,,,,,,,
 
,Unknown,PIN_K7,,,,,,,
 
,Unknown,PIN_K16,,,,,,,
 
,Unknown,PIN_K19,,,,,,,
 
,Unknown,PIN_M4,,,,,,,
 
,Unknown,PIN_N7,,,,,,,
 
,Unknown,PIN_N16,,,,,,,
 
,Unknown,PIN_N19,,,,,,,
 
,Unknown,PIN_R3,,,,,,,
 
,Unknown,PIN_T10,,,,,,,
 
,Unknown,PIN_T13,,,,,,,
 
,Unknown,PIN_T20,,,,,,,
 
,Unknown,PIN_V3,,,,,,,
 
,Unknown,PIN_V6,,,,,,,
 
,Unknown,PIN_V17,,,,,,,
 
,Unknown,PIN_W10,,,,,,,
 
,Unknown,PIN_W13,,,,,,,
 
,Unknown,PIN_W19,,,,,,,
 
,Unknown,PIN_Y8,,,,,,,
 
,Unknown,PIN_Y15,,,,,,,
 
,Unknown,PIN_G1,,,,,,,
 
,Unknown,PIN_G2,,,,,,,
 
,Unknown,PIN_H21,,,,,,,
 
,Unknown,PIN_H22,,,,,,,
 
,Unknown,PIN_J3,,,,,,,
 
,Unknown,PIN_J5,,,,,,,
 
,Unknown,PIN_J6,,,,,,,
 
,Unknown,PIN_J8,,,,,,,
 
,Unknown,PIN_J9,,,,,,,
 
,Unknown,PIN_K8,,,,,,,
 
,Unknown,PIN_K15,,,,,,,
 
,Unknown,PIN_K17,,,,,,,
 
,Unknown,PIN_K18,,,,,,,
 
,Unknown,PIN_L7,,,,,,,
 
,Unknown,PIN_L15,,,,,,,
 
,Unknown,PIN_L16,,,,,,,
 
,Unknown,PIN_L17,,,,,,,
 
,Unknown,PIN_M7,,,,,,,
 
,Unknown,PIN_M8,,,,,,,
 
,Unknown,PIN_M15,,,,,,,
 
,Unknown,PIN_M16,,,,,,,
 
,Unknown,PIN_N5,,,,,,,
 
,Unknown,PIN_N8,,,,,,,
 
,Unknown,PIN_P4,,,,,,,
 
,Unknown,PIN_P14,,,,,,,
 
,Unknown,PIN_P19,,,,,,,
 
,Unknown,PIN_P20,,,,,,,
 
,Unknown,PIN_P21,,,,,,,
 
,Unknown,PIN_P22,,,,,,,
 
,Unknown,PIN_R4,,,,,,,
 
,Unknown,PIN_W18,,,,,,,
 
,|,Partition Hierarchy,root_partition,Yes
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.