OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [mips_alu.vhdl] - Diff between revs 2 and 82

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 82
Line 59... Line 59...
    X"00000000"                     when "11",      -- zero
    X"00000000"                     when "11",      -- zero
    inp2                            when others;    -- straight
    inp2                            when others;    -- straight
 
 
sex1 <= inp1(31) when ac.arith_unsigned='0' else '0';
sex1 <= inp1(31) when ac.arith_unsigned='0' else '0';
alu_eop1 <= sex1 & inp1;
alu_eop1 <= sex1 & inp1;
sex2 <= inp2_neg(31) when ac.arith_unsigned='0' else '0';
sex2 <= inp2_neg(31) when (ac.arith_unsigned='0' or ac.use_slt='1') else '0';
alu_eop2 <= sex2 & inp2_neg;
alu_eop2 <= sex2 & inp2_neg;
alu_arith <= alu_eop1 + alu_eop2 + ac.cy_in;
alu_arith <= alu_eop1 + alu_eop2 + ac.cy_in;
 
 
with ac.logic_sel select alu_logic <=
with ac.logic_sel select alu_logic <=
    inp1 and inp2_neg       when "00",
    inp1 and inp2_neg       when "00",

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.