OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [mips_cache.vhdl] - Diff between revs 161 and 162

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 161 Rev 162
Line 139... Line 139...
--     Currently it works because the FPGA hold tines (including an input mux
--     Currently it works because the FPGA hold tines (including an input mux
--     in the parent module) are far smaller than the SRAM response times, but
--     in the parent module) are far smaller than the SRAM response times, but
--     it would be better to insert an extra cycle after the wait states in
--     it would be better to insert an extra cycle after the wait states in
--     the sram read state machine.
--     the sram read state machine.
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Copyright (C) 2010 Jose A. Ruiz
-- Copyright (C) 2011 Jose A. Ruiz
--                                                              
--                                                              
-- This source file may be used and distributed without         
-- This source file may be used and distributed without         
-- restriction provided that this copyright statement is not    
-- restriction provided that this copyright statement is not    
-- removed from the file and that any derivative work contains  
-- removed from the file and that any derivative work contains  
-- the original copyright notice and the associated disclaimer. 
-- the original copyright notice and the associated disclaimer. 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.