URL
https://opencores.org/ocsvn/ion/ion/trunk
[/] [ion/] [trunk/] [vhdl/] [mips_cache_stub.vhdl] - Diff between revs 96 and 103
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 96 |
Rev 103 |
Line 101... |
Line 101... |
code_rd : out std_logic_vector(31 downto 0);
|
code_rd : out std_logic_vector(31 downto 0);
|
code_rd_vma : in std_logic;
|
code_rd_vma : in std_logic;
|
|
|
mem_wait : out std_logic;
|
mem_wait : out std_logic;
|
cache_enable : in std_logic;
|
cache_enable : in std_logic;
|
|
ic_invalidate : in std_logic;
|
|
|
-- interface to FPGA i/o devices
|
-- interface to FPGA i/o devices
|
io_rd_data : in std_logic_vector(31 downto 0);
|
io_rd_data : in std_logic_vector(31 downto 0);
|
io_rd_addr : out std_logic_vector(31 downto 2);
|
io_rd_addr : out std_logic_vector(31 downto 2);
|
io_wr_addr : out std_logic_vector(31 downto 2);
|
io_wr_addr : out std_logic_vector(31 downto 2);
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.