OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [mips_cpu.vhdl] - Diff between revs 12 and 22

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 12 Rev 22
Line 588... Line 588...
p1_alu_op2_sel_set0 <=
p1_alu_op2_sel_set0 <=
    "11" when    p1_ir_op(31 downto 30)="10" or p1_ir_op(29)='1' else
    "11" when    p1_ir_op(31 downto 30)="10" or p1_ir_op(29)='1' else
    "00";
    "00";
 
 
-- ALU input-2 selection: use registers Hi and Lo for MFHI, MFLO
-- ALU input-2 selection: use registers Hi and Lo for MFHI, MFLO
with p1_ir_fn select p1_alu_op2_sel_set1 <=
p1_alu_op2_sel_set1 <=
    "01" when "010000",
    "01" when p1_op_special='1' and (p1_ir_fn="010000" or p1_ir_fn="010010")
    "10" when "010010",
    else "00";
    "00" when others;
 
 
 
-- ALU input-2 final selection
-- ALU input-2 final selection
p1_alu_op2_sel <= p1_alu_op2_sel_set0 or p1_alu_op2_sel_set1;
p1_alu_op2_sel <= p1_alu_op2_sel_set0 or p1_alu_op2_sel_set1;
 
 
-- Decode store operations
-- Decode store operations

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.