OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [mips_pkg.vhdl] - Diff between revs 120 and 134

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 120 Rev 134
Line 94... Line 94...
    inp1_eq_zero :      std_logic;
    inp1_eq_zero :      std_logic;
    inp1_lt_inp2 :      std_logic;
    inp1_lt_inp2 :      std_logic;
    inp1_eq_inp2 :      std_logic;
    inp1_eq_inp2 :      std_logic;
end record t_alu_flags;
end record t_alu_flags;
 
 
 
-- Debug info output by sinthesizable MPU core; meant to debug the core itself, 
 
-- not to debug software!
 
type t_debug_info is record
 
    cache_enabled :     std_logic;
 
    unmapped_access :   std_logic;
 
end record t_debug_info;
 
 
 
 
-- 32-cycle mul/div module control. Bits 4-3 & 1-0 of IR.
-- 32-cycle mul/div module control. Bits 4-3 & 1-0 of IR.
subtype t_mult_function is std_logic_vector(3 downto 0);
subtype t_mult_function is std_logic_vector(3 downto 0);
constant MULT_NOTHING       : t_mult_function := "0000";
constant MULT_NOTHING       : t_mult_function := "0000";
constant MULT_READ_LO       : t_mult_function := "1010"; -- 18
constant MULT_READ_LO       : t_mult_function := "1010"; -- 18
constant MULT_READ_HI       : t_mult_function := "1000"; -- 16
constant MULT_READ_HI       : t_mult_function := "1000"; -- 16

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.