OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [mips_pkg.vhdl] - Diff between revs 134 and 161

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 134 Rev 161
Line 8... Line 8...
-- additional address decoding you may do out of the FPGA (e.g. if you have more
-- additional address decoding you may do out of the FPGA (e.g. if you have more
-- than one chip on any data bus) or out of the MCU module (e.g. when you add
-- than one chip on any data bus) or out of the MCU module (e.g. when you add
-- new IO registers).
-- new IO registers).
-- Please see the module c2sb_demo and mips_mcu for examples of memory decoding.
-- Please see the module c2sb_demo and mips_mcu for examples of memory decoding.
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
 
-- Copyright (C) 2010 Jose A. Ruiz
 
--                                                              
 
-- This source file may be used and distributed without         
 
-- restriction provided that this copyright statement is not    
 
-- removed from the file and that any derivative work contains  
 
-- the original copyright notice and the associated disclaimer. 
 
--                                                              
 
-- This source file is free software; you can redistribute it   
 
-- and/or modify it under the terms of the GNU Lesser General   
 
-- Public License as published by the Free Software Foundation; 
 
-- either version 2.1 of the License, or (at your option) any   
 
-- later version.                                               
 
--                                                              
 
-- This source is distributed in the hope that it will be       
 
-- useful, but WITHOUT ANY WARRANTY; without even the implied   
 
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      
 
-- PURPOSE.  See the GNU Lesser General Public License for more 
 
-- details.                                                     
 
--                                                              
 
-- You should have received a copy of the GNU Lesser General    
 
-- Public License along with this source; if not, download it   
 
-- from http://www.opencores.org/lgpl.shtml
 
--------------------------------------------------------------------------------
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.