OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [mips_pkg.vhdl] - Diff between revs 2 and 12

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 12
Line 33... Line 33...
    inp1_eq_zero :      std_logic;
    inp1_eq_zero :      std_logic;
    inp1_lt_inp2 :      std_logic;
    inp1_lt_inp2 :      std_logic;
    inp1_eq_inp2 :      std_logic;
    inp1_eq_inp2 :      std_logic;
end record t_alu_flags;
end record t_alu_flags;
 
 
 
-- 32-cycle mul/div module control. Bits 4-3 & 1-0 of IR.
 
subtype t_mult_function is std_logic_vector(3 downto 0);
 
constant MULT_NOTHING       : t_mult_function := "0000";
 
constant MULT_READ_LO       : t_mult_function := "1010"; -- 18
 
constant MULT_READ_HI       : t_mult_function := "1000"; -- 16
 
constant MULT_WRITE_LO      : t_mult_function := "1011"; -- 19
 
constant MULT_WRITE_HI      : t_mult_function := "1001"; -- 17
 
constant MULT_MULT          : t_mult_function := "1101"; -- 25
 
constant MULT_SIGNED_MULT   : t_mult_function := "1100"; -- 24
 
constant MULT_DIVIDE        : t_mult_function := "1111"; -- 26
 
constant MULT_SIGNED_DIVIDE : t_mult_function := "1110"; -- 27
 
 
 
 
end package;
end package;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.