OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [tb/] [sim_params_pkg.vhdl] - Diff between revs 226 and 241

Show entire file | Details | Blame | View Log

Rev 226 Rev 241
Line 58... Line 58...
constant BRAM_SIZE : integer := 2048;
constant BRAM_SIZE : integer := 2048;
 
 
 
 
-- Memory initialization data --------------------------------------------------
-- Memory initialization data --------------------------------------------------
 
 
constant obj_code : t_obj_code(0 to 3678) := (
constant obj_code : t_obj_code(0 to 3679) := (
  X"10", X"00", X"00", X"7c", X"00", X"00", X"00", X"00",
  X"10", X"00", X"00", X"7c", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
  X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
Line 112... Line 112...
  X"40", X"1a", X"68", X"00", X"00", X"1a", X"d0", X"82",
  X"40", X"1a", X"68", X"00", X"00", X"1a", X"d0", X"82",
  X"33", X"5a", X"00", X"1f", X"34", X"1b", X"00", X"08",
  X"33", X"5a", X"00", X"1f", X"34", X"1b", X"00", X"08",
  X"13", X"5b", X"00", X"09", X"23", X"7b", X"00", X"01",
  X"13", X"5b", X"00", X"09", X"23", X"7b", X"00", X"01",
  X"13", X"5b", X"00", X"05", X"23", X"7b", X"00", X"01",
  X"13", X"5b", X"00", X"05", X"23", X"7b", X"00", X"01",
  X"17", X"5b", X"00", X"07", X"00", X"00", X"00", X"00",
  X"17", X"5b", X"00", X"07", X"00", X"00", X"00", X"00",
  X"0b", X"f0", X"00", X"a2", X"00", X"00", X"00", X"00",
  X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
  X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
  X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
  X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
  X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
  X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
  X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
  X"40", X"1b", X"70", X"00", X"40", X"1a", X"68", X"00",
  X"40", X"1b", X"70", X"00", X"40", X"1a", X"68", X"00",
  X"00", X"1a", X"d7", X"c2", X"33", X"5a", X"00", X"01",
  X"00", X"1a", X"d7", X"c2", X"33", X"5a", X"00", X"01",
Line 286... Line 286...
  X"24", X"a5", X"0e", X"60", X"10", X"a4", X"00", X"0b",
  X"24", X"a5", X"0e", X"60", X"10", X"a4", X"00", X"0b",
  X"00", X"00", X"00", X"00", X"3c", X"10", X"00", X"00",
  X"00", X"00", X"00", X"00", X"3c", X"10", X"00", X"00",
  X"26", X"10", X"00", X"00", X"12", X"00", X"00", X"07",
  X"26", X"10", X"00", X"00", X"12", X"00", X"00", X"07",
  X"00", X"00", X"00", X"00", X"8c", X"a8", X"00", X"00",
  X"00", X"00", X"00", X"00", X"8c", X"a8", X"00", X"00",
  X"24", X"a5", X"00", X"04", X"ac", X"88", X"00", X"00",
  X"24", X"a5", X"00", X"04", X"ac", X"88", X"00", X"00",
  X"24", X"84", X"00", X"04", X"16", X"00", X"ff", X"fb",
  X"24", X"84", X"00", X"04", X"1e", X"00", X"ff", X"fb",
  X"26", X"10", X"ff", X"fc", X"0f", X"f0", X"01", X"cd",
  X"26", X"10", X"ff", X"fc", X"0f", X"f0", X"01", X"cd",
  X"00", X"00", X"00", X"00", X"0b", X"f0", X"01", X"cb",
  X"00", X"00", X"00", X"00", X"0b", X"f0", X"01", X"cb",
  X"00", X"00", X"00", X"00", X"3c", X"04", X"bf", X"c0",
  X"00", X"00", X"00", X"00", X"3c", X"04", X"bf", X"c0",
  X"27", X"bd", X"ff", X"e8", X"af", X"bf", X"00", X"14",
  X"27", X"bd", X"ff", X"e8", X"af", X"bf", X"00", X"14",
  X"0f", X"f0", X"03", X"40", X"24", X"84", X"0e", X"04",
  X"0f", X"f0", X"03", X"40", X"24", X"84", X"0e", X"04",
Line 507... Line 507...
  X"03", X"e0", X"00", X"08", X"00", X"00", X"00", X"00",
  X"03", X"e0", X"00", X"08", X"00", X"00", X"00", X"00",
  X"3c", X"03", X"20", X"00", X"8c", X"62", X"00", X"04",
  X"3c", X"03", X"20", X"00", X"8c", X"62", X"00", X"04",
  X"00", X"00", X"00", X"00", X"30", X"42", X"00", X"02",
  X"00", X"00", X"00", X"00", X"30", X"42", X"00", X"02",
  X"10", X"40", X"ff", X"fc", X"3c", X"02", X"20", X"00",
  X"10", X"40", X"ff", X"fc", X"3c", X"02", X"20", X"00",
  X"8c", X"42", X"00", X"00", X"03", X"e0", X"00", X"08",
  X"8c", X"42", X"00", X"00", X"03", X"e0", X"00", X"08",
  X"00", X"02", X"16", X"02", X"63", X"6f", X"6d", X"70",
  X"30", X"42", X"00", X"ff", X"63", X"6f", X"6d", X"70",
  X"69", X"6c", X"65", X"20", X"74", X"69", X"6d", X"65",
  X"69", X"6c", X"65", X"20", X"74", X"69", X"6d", X"65",
  X"3a", X"20", X"4a", X"75", X"6e", X"20", X"31", X"36",
  X"3a", X"20", X"4e", X"6f", X"76", X"20", X"31", X"35",
  X"20", X"32", X"30", X"31", X"32", X"20", X"2d", X"2d",
  X"20", X"32", X"30", X"31", X"32", X"20", X"2d", X"2d",
  X"20", X"30", X"38", X"3a", X"34", X"31", X"3a", X"35",
  X"20", X"31", X"31", X"3a", X"35", X"37", X"3a", X"31",
  X"38", X"0a", X"00", X"00", X"67", X"63", X"63", X"20",
  X"31", X"0a", X"00", X"00", X"67", X"63", X"63", X"20",
  X"76", X"65", X"72", X"73", X"69", X"6f", X"6e", X"3a",
  X"76", X"65", X"72", X"73", X"69", X"6f", X"6e", X"3a",
  X"20", X"20", X"34", X"2e", X"35", X"2e", X"32", X"0a",
  X"20", X"20", X"34", X"2e", X"35", X"2e", X"32", X"0a",
  X"00", X"00", X"00", X"00", X"0a", X"0a", X"48", X"65",
  X"00", X"00", X"00", X"00", X"0a", X"0a", X"48", X"65",
  X"6c", X"6c", X"6f", X"20", X"57", X"6f", X"72", X"6c",
  X"6c", X"6c", X"6f", X"20", X"57", X"6f", X"72", X"6c",
  X"64", X"21", X"0a", X"0a", X"0a", X"00", X"00", X"00",
  X"64", X"21", X"0a", X"0a", X"0a", X"00", X"00", X"00",
  X"28", X"6e", X"75", X"6c", X"6c", X"29", X"00" );
  X"28", X"6e", X"75", X"6c", X"6c", X"29", X"00", X"00"
 
  );
 
 
constant sram_init : t_obj_code(0 to 0) := (others => X"00");
constant sram_init : t_obj_code(0 to 0) := (others => X"00");
constant prom_init : t_obj_code(0 to 0) := (others => X"00");
constant prom_init : t_obj_code(0 to 0) := (others => X"00");
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.