OpenCores
URL https://opencores.org/ocsvn/iota_pow_vhdl/iota_pow_vhdl/trunk

Subversion Repositories iota_pow_vhdl

[/] [iota_pow_vhdl/] [trunk/] [vhdl_altera_de1/] [spi_slave.vhd] - Diff between revs 4 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 5
Line 1... Line 1...
-- IOTA Pearl Diver VHDL Port
-- IOTA Pearl Diver VHDL Port
--
--
-- Written 2018 by Thomas Pototschnig <microengineer18@gmail.com>
-- Written 2018 by Thomas Pototschnig <microengineer18@gmail.com>
--
--
-- This source code is currently licensed under
-- This source code is currently licensed under
-- Attribution-NonCommercial 4.0 International (CC BY-NC 4.0)
-- Attribution-NonCommercial 4.0 International (CC BY-NC 4.0)
-- 
-- 
-- http://www.microengineer.eu
-- http://www.microengineer.eu
-- 
-- 
-- If you like my project please consider a donation to
-- If you like my project please consider a donation to
--
--
Line 94... Line 94...
                end if;
                end if;
        end process;
        end process;
 
 
 
 
end behv;
end behv;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.