Line 1... |
Line 1... |
-- IOTA Pearl Diver VHDL Port
|
-- IOTA Pearl Diver VHDL Port
|
--
|
--
|
-- Written 2018 by Thomas Pototschnig <microengineer18@gmail.com>
|
-- 2018 by Thomas Pototschnig <microengineer18@gmail.com,
|
|
-- http://microengineer.eu
|
|
-- discord: pmaxuw#8292
|
--
|
--
|
-- This source code is currently licensed under
|
-- Permission is hereby granted, free of charge, to any person obtaining
|
-- Attribution-NonCommercial 4.0 International (CC BY-NC 4.0)
|
-- a copy of this software and associated documentation files (the
|
|
-- "Software"), to deal in the Software without restriction, including
|
|
-- without limitation the rights to use, copy, modify, merge, publish,
|
|
-- distribute, sublicense, and/or sell copies of the Software, and to
|
|
-- permit persons to whom the Software is furnished to do so, subject to
|
|
-- the following conditions:
|
--
|
--
|
-- http://www.microengineer.eu
|
-- The above copyright notice and this permission notice shall be
|
|
-- included in all copies or substantial portions of the Software.
|
--
|
--
|
-- If you like my project please consider a donation to
|
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
|
--
|
-- EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
|
-- LLEYMHRKXWSPMGCMZFPKKTHSEMYJTNAZXSAYZGQUEXLXEEWPXUNWBFDWESOJVLHQHXOPQEYXGIRBYTLRWHMJAOSHUY
|
-- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
|
--
|
-- NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE
|
-- As soon as donations reach 1000MIOTA, everything will become
|
-- LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION
|
-- GPL and open for any use - commercial included.
|
-- OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION
|
|
-- WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWAR
|
|
|
library ieee;
|
library ieee;
|
|
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use ieee.numeric_std.all;
|
use ieee.numeric_std.all;
|
Line 41... |
Line 50... |
reset : in std_logic;
|
reset : in std_logic;
|
|
|
spi_data_rx : in std_logic_vector(31 downto 0);
|
spi_data_rx : in std_logic_vector(31 downto 0);
|
spi_data_tx : out std_logic_vector(31 downto 0);
|
spi_data_tx : out std_logic_vector(31 downto 0);
|
spi_data_rxen : in std_logic;
|
spi_data_rxen : in std_logic;
|
|
spi_data_strobe : out std_logic;
|
overflow : out std_logic;
|
overflow : out std_logic;
|
running : out std_logic;
|
running : out std_logic;
|
found : out std_logic
|
found : out std_logic
|
);
|
);
|
|
|
Line 61... |
Line 71... |
type min_weight_magnitude_array is array(integer range<>) of min_weight_magnitude_type;
|
type min_weight_magnitude_array is array(integer range<>) of min_weight_magnitude_type;
|
|
|
signal curl_state_low : curl_state_array(STATE_LENGTH-1 downto 0);
|
signal curl_state_low : curl_state_array(STATE_LENGTH-1 downto 0);
|
signal curl_state_high : curl_state_array(STATE_LENGTH-1 downto 0);
|
signal curl_state_high : curl_state_array(STATE_LENGTH-1 downto 0);
|
|
|
-- mid state data in 9bit packed format
|
signal data_low : mid_state_array((HASH_LENGTH/DATA_WIDTH)-1 downto 0);
|
signal curl_mid_state_low : mid_state_array((STATE_LENGTH/9)-1 downto 0);
|
signal data_high : mid_state_array((HASH_LENGTH/DATA_WIDTH)-1 downto 0);
|
signal curl_mid_state_high : mid_state_array((STATE_LENGTH/9)-1 downto 0);
|
|
|
|
|
signal curl_mid_state_low : std_logic_vector(STATE_LENGTH-1 downto 0);
|
|
signal curl_mid_state_high : std_logic_vector(STATE_LENGTH-1 downto 0);
|
|
|
signal flag_running : std_logic := '0';
|
signal flag_running : std_logic := '0';
|
signal flag_overflow : std_logic := '0';
|
signal flag_overflow : std_logic := '0';
|
signal flag_found : std_logic := '0';
|
signal flag_found : std_logic := '0';
|
signal flag_start : std_logic := '0';
|
signal flag_start : std_logic := '0';
|
|
|
|
signal flag_curl_finished : std_logic := '0';
|
|
|
|
type binary_nonce_array is array(integer range<>) of unsigned(INTERN_NONCE_LENGTH-1 downto 0);
|
|
|
|
|
signal binary_nonce : unsigned(INTERN_NONCE_LENGTH-1 downto 0);
|
signal binary_nonce : unsigned(INTERN_NONCE_LENGTH-1 downto 0);
|
signal mask : state_vector_type;
|
signal mask : state_vector_type;
|
signal min_weight_magnitude : min_weight_magnitude_type;
|
signal min_weight_magnitude : min_weight_magnitude_type;
|
|
|
|
signal i_binary_nonce : unsigned(INTERN_NONCE_LENGTH-1 downto 0);
|
|
signal tmp_weight_magnitude : min_weight_magnitude_array(0 to PARALLEL-1);
|
|
|
|
signal flag_curl_reset : std_logic;
|
|
signal flag_curl_write : std_logic;
|
|
signal flag_curl_do_curl : std_logic;
|
|
|
|
signal imask : state_vector_type;
|
|
|
|
|
|
|
function expand(b : std_logic)
|
function expand(b : std_logic)
|
return state_vector_type is
|
return state_vector_type is
|
begin
|
begin
|
if b = '1' then
|
if b = '1' then
|
return (others => '1');
|
return (others => '1');
|
else
|
else
|
return (others => '0');
|
return (others => '0');
|
end if;
|
end if;
|
end expand;
|
end expand;
|
|
|
|
|
begin
|
begin
|
overflow <= flag_overflow;
|
overflow <= flag_overflow;
|
running <= flag_running;
|
running <= flag_running;
|
found <= flag_found;
|
found <= flag_found;
|
|
|
Line 99... |
Line 129... |
if rising_edge(clk_slow) then
|
if rising_edge(clk_slow) then
|
if reset='1' then
|
if reset='1' then
|
min_weight_magnitude <= (others => '0');
|
min_weight_magnitude <= (others => '0');
|
flag_start <= '0';
|
flag_start <= '0';
|
spi_data_tx <= (others => '0');
|
spi_data_tx <= (others => '0');
|
-- curl_mid_state_low <= (others => (others => '0'));
|
|
-- curl_mid_state_high <= (others => (others => '0'));
|
|
addrptr := x"00";
|
addrptr := x"00";
|
else
|
else
|
flag_start <= '0';
|
flag_start <= '0';
|
|
flag_curl_reset <= '0';
|
|
flag_curl_write <= '0';
|
|
flag_curl_do_curl <= '0';
|
|
spi_data_strobe <= '0';
|
|
|
-- new spi data received
|
-- new spi data received
|
if spi_data_rxen = '1' then
|
if spi_data_rxen = '1' then
|
spi_cmd := spi_data_rx(31 downto 26);
|
spi_cmd := spi_data_rx(31 downto 26);
|
case spi_cmd is
|
case spi_cmd is
|
when "000000" => -- nop (mainly for reading back data)
|
when "000000" => -- nop (mainly for reading back data)
|
when "100001" => -- start / stop
|
when "100000" => -- start / stop
|
flag_start <= spi_data_rx(0);
|
flag_start <= spi_data_rx(0);
|
when "100101" => -- write to wr address
|
flag_curl_reset <= spi_data_rx(1);
|
addrptr := unsigned(spi_data_rx(7 downto 0));
|
flag_curl_write <= spi_data_rx(2);
|
when "100010" => -- write to mid state
|
flag_curl_do_curl <= spi_data_rx(3);
|
if (addrptr <= (STATE_LENGTH/9)-1) then
|
when "010000" => -- write to wr address
|
curl_mid_state_low(to_integer(addrptr)) <= spi_data_rx(DATA_WIDTH-1 downto 0);
|
addrptr := (others => '0'); --unsigned(spi_data_rx(7 downto 0));
|
curl_mid_state_high(to_integer(addrptr)) <= spi_data_rx(DATA_WIDTH+8 downto DATA_WIDTH);
|
when "001000" => -- write to data buffer
|
|
if (addrptr <= (HASH_LENGTH/DATA_WIDTH)-1) then
|
|
data_low(to_integer(addrptr)) <= spi_data_rx(DATA_WIDTH-1 downto 0);
|
|
data_high(to_integer(addrptr)) <= spi_data_rx(DATA_WIDTH+8 downto DATA_WIDTH);
|
end if;
|
end if;
|
spi_data_tx <= spi_data_rx;
|
|
addrptr := addrptr + 1;
|
addrptr := addrptr + 1;
|
when "100100" =>
|
when "000100" =>
|
min_weight_magnitude <= spi_data_rx(BITS_MIN_WEIGHT_MAGINUTE_MAX-1 downto 0);
|
min_weight_magnitude <= spi_data_rx(BITS_MIN_WEIGHT_MAGINUTE_MAX-1 downto 0);
|
|
when "000010" => -- read flags
|
when "000001" => -- read flags
|
spi_data_tx(3 downto 0) <= flag_curl_finished & flag_overflow & flag_found & flag_running;
|
spi_data_tx(2 downto 0) <= flag_overflow & flag_found & flag_running;
|
spi_data_tx(7 downto 4) <= std_logic_vector(to_unsigned(PARALLEL, 4));
|
|
spi_data_tx(8+(PARALLEL-1) downto 8) <= mask;
|
-- for debugging onle ... read back curl_state
|
spi_data_strobe <= '1';
|
-- when "000010" =>
|
when "000001" => -- read nonce
|
-- spi_addr := spi_data_rx(25 downto 16);
|
|
-- spi_data_tx(0+PARALLEL-1 downto 0) <= curl_state_low(to_integer(unsigned(spi_addr)));
|
|
-- spi_data_tx(8+PARALLEL-1 downto 8) <= curl_state_high(to_integer(unsigned(spi_addr)));
|
|
|
|
-- for debugging only ... read back mid_state
|
|
-- when "000111" =>
|
|
-- if (addrptr <= (STATE_LENGTH/9)-1) then
|
|
-- spi_data_tx(DATA_WIDTH-1 downto 0) <= curl_mid_state_low(to_integer(addrptr));
|
|
-- spi_data_tx(DATA_WIDTH+8 downto DATA_WIDTH) <= curl_mid_state_high(to_integer(addrptr));
|
|
-- else
|
|
-- spi_data_tx <= (others => '0');
|
|
-- end if;
|
|
-- addrptr := addrptr + 1; -- dual-used for debugging purposes
|
|
when "000011" => -- read nonce
|
|
spi_data_tx(INTERN_NONCE_LENGTH-1 downto 0) <= std_logic_vector(binary_nonce);
|
spi_data_tx(INTERN_NONCE_LENGTH-1 downto 0) <= std_logic_vector(binary_nonce);
|
when "000100" => -- read mask
|
spi_data_strobe <= '1';
|
spi_data_tx(PARALLEL-1 downto 0) <= mask;
|
|
when "010101" => -- loop back read test inverted bits
|
|
spi_data_tx <= not spi_data_rx;
|
|
when "000110" => -- read back parallel-level
|
|
spi_data_tx(3 downto 0) <= std_logic_vector(to_unsigned(PARALLEL, 4));
|
|
when others =>
|
when others =>
|
spi_data_tx <= (others => '1');
|
|
end case;
|
end case;
|
end if;
|
end if;
|
end if;
|
end if;
|
end if;
|
end if;
|
end process;
|
end process;
|
|
|
|
process (clk_slow)
|
|
variable state : integer range 0 to 7 := 0;
|
|
variable round : integer range 0 to 127 := 0;
|
|
variable tmp_index : integer range 0 to 1023;
|
|
|
|
variable alpha : std_logic_vector(STATE_LENGTH-1 downto 0);
|
|
variable beta : std_logic_vector(STATE_LENGTH-1 downto 0);
|
|
variable gamma : std_logic_vector(STATE_LENGTH-1 downto 0);
|
|
variable delta : std_logic_vector(STATE_LENGTH-1 downto 0);
|
|
|
|
begin
|
|
if rising_edge(clk_slow) then
|
|
if reset='1' then
|
|
state := 0;
|
|
else
|
|
case state is
|
|
when 0 =>
|
|
round := NUMBER_OF_ROUNDS;
|
|
flag_curl_finished <= '1';
|
|
|
|
if flag_curl_write = '1' then
|
|
for I in 0 to (HASH_LENGTH/DATA_WIDTH)-1 loop
|
|
for J in 0 to DATA_WIDTH-1 loop
|
|
tmp_index := I*DATA_WIDTH+J;
|
|
curl_mid_state_low(tmp_index) <= data_low(I)(J);
|
|
curl_mid_state_high(tmp_index) <= data_high(I)(J);
|
|
end loop;
|
|
end loop;
|
|
elsif flag_curl_reset='1' then
|
|
curl_mid_state_low <= (others => '1');
|
|
curl_mid_state_high <= (others => '1');
|
|
end if;
|
|
|
|
if flag_curl_do_curl = '1' then
|
|
round := NUMBER_OF_ROUNDS;
|
|
flag_curl_finished <= '0';
|
|
state := 1;
|
|
end if;
|
|
when 1 => -- do the curl hash round without any copying needed
|
|
if round = 1 then
|
|
state := 0;
|
|
end if;
|
|
for I in 0 to STATE_LENGTH-1 loop
|
|
alpha(I) := curl_mid_state_low(index_table(I));
|
|
beta(I) := curl_mid_state_high(index_table(I));
|
|
gamma(I) := curl_mid_state_high(index_table(I+1));
|
|
|
|
delta(I) := (alpha(I) or (not gamma(I))) and (curl_mid_state_low(index_table(I+1)) xor beta(I));
|
|
|
|
curl_mid_state_low(I) <= not delta(I);
|
|
curl_mid_state_high(I) <= (alpha(I) xor gamma(I)) or delta(I);
|
|
end loop;
|
|
round := round - 1;
|
|
when others =>
|
|
state := 0;
|
|
end case;
|
|
end if;
|
|
end if;
|
|
end process;
|
|
|
process (clk)
|
process (clk)
|
variable state : integer range 0 to 31 := 0;
|
variable state : integer range 0 to 63 := 0;
|
variable round : integer range 0 to 127 := 0;
|
variable round : integer range 0 to 127 := 0;
|
|
|
variable imask : state_vector_type;
|
|
|
|
variable i_min_weight_magnitude : min_weight_magnitude_type;
|
variable i_min_weight_magnitude : min_weight_magnitude_type;
|
variable tmp_weight_magnitude : min_weight_magnitude_array(0 to PARALLEL-1);
|
|
variable i_binary_nonce : unsigned(INTERN_NONCE_LENGTH-1 downto 0);
|
|
|
|
-- temporary registers get optimized away
|
-- temporary registers get optimized away
|
variable alpha : curl_state_array(STATE_LENGTH-1 downto 0);
|
variable alpha : curl_state_array(STATE_LENGTH-1 downto 0);
|
variable beta : curl_state_array(STATE_LENGTH-1 downto 0);
|
variable beta : curl_state_array(STATE_LENGTH-1 downto 0);
|
variable gamma : curl_state_array(STATE_LENGTH-1 downto 0);
|
variable gamma : curl_state_array(STATE_LENGTH-1 downto 0);
|
variable delta : curl_state_array(STATE_LENGTH-1 downto 0);
|
variable delta : curl_state_array(STATE_LENGTH-1 downto 0);
|
|
|
variable tmp_index : integer range 0 to 1023;
|
|
variable tmp_highest_bit : integer range 0 to 31;
|
variable tmp_highest_bit : integer range 0 to 31;
|
begin
|
begin
|
if rising_edge(clk) then
|
if rising_edge(clk) then
|
if reset='1' then
|
if reset='1' then
|
state := 0;
|
state := 0;
|
Line 190... |
Line 259... |
binary_nonce <= (others => '0');
|
binary_nonce <= (others => '0');
|
mask <= (others => '0');
|
mask <= (others => '0');
|
-- curl_state_low <= (others => (others => '0'));
|
-- curl_state_low <= (others => (others => '0'));
|
-- curl_state_high <= (others => (others => '0'));
|
-- curl_state_high <= (others => (others => '0'));
|
-- tmp_weight_magnitude := (others => (others => '0'));
|
-- tmp_weight_magnitude := (others => (others => '0'));
|
i_binary_nonce := (others => '0');
|
i_binary_nonce <= (others => '0');
|
imask := (others => '0');
|
imask <= (others => '0');
|
i_min_weight_magnitude := (others => '0');
|
-- i_min_weight_magnitude := (others => '0');
|
alpha := (others => (others => '0'));
|
-- alpha := (others => (others => '0'));
|
beta := (others => (others => '0'));
|
-- beta := (others => (others => '0'));
|
gamma := (others => (others => '0'));
|
-- gamma := (others => (others => '0'));
|
delta := (others => (others => '0'));
|
-- delta := (others => (others => '0'));
|
tmp_index := 0;
|
-- tmp_index := 0;
|
|
tmp_weight_magnitude <= (others => (others => '0'));
|
else
|
else
|
case state is
|
case state is
|
when 0 =>
|
when 0 =>
|
mask <= imask;
|
|
binary_nonce <= i_binary_nonce;
|
|
flag_running <= '0';
|
flag_running <= '0';
|
|
when others =>
|
|
flag_running <= '1';
|
|
end case;
|
|
|
|
case state is
|
|
when 0 =>
|
|
-- flag_running <= '0';
|
if flag_start = '1' then
|
if flag_start = '1' then
|
i_binary_nonce := x"00000000";
|
i_binary_nonce <= x"00000000";
|
i_min_weight_magnitude := min_weight_magnitude;
|
-- flag_running <= '1';
|
state := 1;
|
state := 1;
|
end if;
|
end if;
|
-- nop until start from spi
|
|
when 1 =>
|
-- do PoW
|
|
when 1 => -- copy mid state and insert nonce
|
|
i_min_weight_magnitude := min_weight_magnitude;
|
flag_found <= '0';
|
flag_found <= '0';
|
flag_running <= '1';
|
|
flag_overflow <= '0';
|
flag_overflow <= '0';
|
state := 8;
|
binary_nonce <= i_binary_nonce;
|
when 8 => -- copy mid state and insert nonce
|
-- pipelining
|
|
i_binary_nonce <= i_binary_nonce + 1;
|
|
|
-- copy and fully expand mid-state to curl-state
|
-- -- copy and fully expand mid-state to curl-state
|
for I in 0 to (STATE_LENGTH/DATA_WIDTH)-1 loop
|
for I in 0 to STATE_LENGTH-1 loop
|
for J in 0 to DATA_WIDTH-1 loop
|
if I < NONCE_OFFSET or I > NONCE_OFFSET + NONCE_LENGTH - 1 then
|
tmp_index := I*DATA_WIDTH+J;
|
curl_state_low(I) <= expand(curl_mid_state_low(I));
|
if tmp_index < NONCE_OFFSET or tmp_index > NONCE_OFFSET + NONCE_LENGTH - 1 then
|
curl_state_high(I) <= expand(curl_mid_state_high(I));
|
curl_state_low(tmp_index) <= expand(curl_mid_state_low(I)(J));
|
|
curl_state_high(tmp_index) <= expand(curl_mid_state_high(I)(J));
|
|
end if;
|
end if;
|
end loop;
|
end loop;
|
end loop;
|
|
|
-- for I in 0 to NONCE_OFFSET-1 loop
|
|
-- curl_state_low(I) <= expand(curl_mid_state_low(I));
|
|
-- curl_state_high(I) <= expand(curl_mid_state_high(I));
|
|
-- end loop;
|
|
--
|
|
-- for I in NONCE_OFFSET + NONCE_LENGTH to STATE_LENGTH-1 loop
|
|
-- curl_state_low(I) <= expand(curl_mid_state_low(I));
|
|
-- curl_state_high(I) <= expand(curl_mid_state_high(I));
|
|
-- end loop;
|
|
|
|
|
-- fill all ... synthesizer is smart enough to optimize away what is not needed
|
-- fill all ... synthesizer is smart enough to optimize away what is not needed
|
for I in NONCE_OFFSET to NONCE_OFFSET + NONCE_LENGTH - 1 loop
|
for I in NONCE_OFFSET to NONCE_OFFSET + NONCE_LENGTH - 1 loop
|
curl_state_low(I) <= expand('1');
|
curl_state_low(I) <= expand('1');
|
curl_state_high(I) <= expand('1');
|
curl_state_high(I) <= expand('1');
|
Line 265... |
Line 350... |
curl_state_high(NONCE_OFFSET + NONCE_LENGTH - INTERN_NONCE_LENGTH + I) <= not expand(i_binary_nonce(I));
|
curl_state_high(NONCE_OFFSET + NONCE_LENGTH - INTERN_NONCE_LENGTH + I) <= not expand(i_binary_nonce(I));
|
end loop;
|
end loop;
|
|
|
-- initialize round-counter
|
-- initialize round-counter
|
round := NUMBER_OF_ROUNDS;
|
round := NUMBER_OF_ROUNDS;
|
|
if i_binary_nonce = x"ffffffff" then
|
state := 10;
|
flag_overflow <= '1';
|
when 10 => -- do the curl hash round without any copying needed
|
state := 0;
|
|
else
|
|
state := 2;
|
|
end if;
|
|
when 2 => -- do the curl hash round without any copying needed
|
if round = 1 then
|
if round = 1 then
|
state := 16;
|
state := 3;
|
end if;
|
end if;
|
for I in 0 to STATE_LENGTH-1 loop
|
for I in 0 to STATE_LENGTH-1 loop
|
alpha(I) := curl_state_low(index_table(I));
|
alpha(I) := curl_state_low(index_table(I));
|
beta(I) := curl_state_high(index_table(I));
|
beta(I) := curl_state_high(index_table(I));
|
gamma(I) := curl_state_high(index_table(I+1));
|
gamma(I) := curl_state_high(index_table(I+1));
|
Line 282... |
Line 371... |
|
|
curl_state_low(I) <= not delta(I);
|
curl_state_low(I) <= not delta(I);
|
curl_state_high(I) <= (alpha(I) xor gamma(I)) or delta(I);
|
curl_state_high(I) <= (alpha(I) xor gamma(I)) or delta(I);
|
end loop;
|
end loop;
|
round := round - 1;
|
round := round - 1;
|
when 16 => -- find out which solution - if any
|
when 3 => -- find out which solution - if any
|
imask := (others => '0');
|
|
|
|
-- transform "vertical" trits to "horizontal" bits
|
-- transform "vertical" trits to "horizontal" bits
|
-- and compare with min weight magnitude mask
|
-- and compare with min weight magnitude mask
|
for I in 0 to PARALLEL-1 loop
|
for I in 0 to PARALLEL-1 loop
|
tmp_weight_magnitude(I) := (others => '0');
|
|
for J in 0 to BITS_MIN_WEIGHT_MAGINUTE_MAX-1 loop
|
for J in 0 to BITS_MIN_WEIGHT_MAGINUTE_MAX-1 loop
|
tmp_weight_magnitude(I)(J) := curl_state_low(HASH_LENGTH - 1 - J)(I) and curl_state_high(HASH_LENGTH - 1 - J)(I);
|
tmp_weight_magnitude(I)(J) <= curl_state_low(HASH_LENGTH - 1 - J)(I) and curl_state_high(HASH_LENGTH - 1 - J)(I) and i_min_weight_magnitude(J);
|
|
end loop;
|
end loop;
|
end loop;
|
tmp_weight_magnitude(I) := tmp_weight_magnitude(I) and i_min_weight_magnitude; -- only consider used bits
|
|
|
-- pipelining
|
|
imask <= (others => '0');
|
|
for I in 0 to PARALLEL-1 loop
|
if tmp_weight_magnitude(I) = i_min_weight_magnitude then
|
if tmp_weight_magnitude(I) = i_min_weight_magnitude then
|
imask(I) := '1';
|
imask(I) <= '1';
|
end if;
|
end if;
|
end loop;
|
end loop;
|
state := 17;
|
|
when 17 =>
|
-- pipelining
|
if unsigned(imask) /= 0 then
|
if unsigned(imask) = 0 then
|
state := 30;
|
state :=1;
|
elsif i_binary_nonce = x"ffffffff" then
|
|
state := 31;
|
|
else
|
else
|
i_binary_nonce := i_binary_nonce + 1;
|
|
state := 8;
|
|
end if;
|
|
when 30 =>
|
|
flag_found <= '1';
|
flag_found <= '1';
|
|
mask <= imask;
|
state := 0;
|
state := 0;
|
when 31 =>
|
end if;
|
flag_overflow <= '1';
|
|
state := 0;
|
|
when others =>
|
when others =>
|
state := 0;
|
state := 0;
|
end case;
|
end case;
|
end if;
|
end if;
|
end if;
|
end if;
|