OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [sources/] [Counter.v] - Diff between revs 10 and 11

Show entire file | Details | Blame | View Log

Rev 10 Rev 11
Line 1... Line 1...
`timescale 1ns / 1ps
/*
 
Author: Sebastien Riou (acapola)
 
Creation date: 23:57:02 08/31/2010
 
 
 
$LastChangedDate: 2011-01-29 13:16:17 +0100 (Sat, 29 Jan 2011) $
 
$LastChangedBy: acapola $
 
$LastChangedRevision: 11 $
 
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/sources/Counter.v $
 
 
 
This file is under the BSD licence:
 
Copyright (c) 2011, Sebastien Riou
 
 
 
All rights reserved.
 
 
 
Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:
 
 
 
Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
 
Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.
 
The names of contributors may not be used to endorse or promote products derived from this software without specific prior written permission.
 
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
 
"AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
 
LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
 
A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR
 
CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
 
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
 
PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
 
PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
 
LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
 
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
 
SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 
*/
`default_nettype none
`default_nettype none
//////////////////////////////////////////////////////////////////////////////////
/*
// Company: 
A counter with increment and clear operation
// Engineer: Sebastien Riou
*/
// 
module Counter
// Create Date:    23:57:02 08/31/2010 
#(//parameters to override
// Design Name: 
        parameter DIVIDER_WIDTH = 16,
// Module Name:    Counter 
        parameter WIDTH = 8,
// Project Name: 
        parameter WIDTH_INIT = 1
// Target Devices: 
)
// Tool versions: 
(
// Description: A counter with increment and clear operation
 
//
 
// Dependencies: 
 
//
 
// Revision: 
 
// Revision 0.01 - File Created
 
// Additional Comments: 
 
//
 
//////////////////////////////////////////////////////////////////////////////////
 
module Counter(
 
    output reg [WIDTH-1:0] counter,
    output reg [WIDTH-1:0] counter,
    output wire earlyMatch,
    output wire earlyMatch,
         output reg match,
         output reg match,
         output wire dividedClk,
         output wire dividedClk,
         input wire [DIVIDER_WIDTH-1:0] divider, // clock divide factor
         input wire [DIVIDER_WIDTH-1:0] divider, // clock divide factor
Line 31... Line 51...
         input wire [WIDTH_INIT-1:0] initVal,
         input wire [WIDTH_INIT-1:0] initVal,
         input wire clk,
         input wire clk,
    input wire nReset
    input wire nReset
    );
    );
 
 
//parameters to override
 
parameter DIVIDER_WIDTH = 16;
 
parameter WIDTH = 8;
 
parameter WIDTH_INIT = 1;
 
 
 
wire divideBy1;
wire divideBy1;
wire divMatch;
wire divMatch;
wire divRisingMatch;
wire divRisingMatch;
wire divFallingMatch;
wire divFallingMatch;
 
 
Line 85... Line 100...
      match <= divideBy1 ? earlyMatchReg : doEarlyMatch;
      match <= divideBy1 ? earlyMatchReg : doEarlyMatch;
        end
        end
end
end
 
 
endmodule
endmodule
 
`default_nettype wire
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.