URL
https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 3 |
Rev 4 |
Line 1... |
Line 1... |
`timescale 1ns / 1ps
|
`timescale 1ns / 1ps
|
|
`default_nettype none
|
//////////////////////////////////////////////////////////////////////////////////
|
//////////////////////////////////////////////////////////////////////////////////
|
// Company:
|
// Company:
|
// Engineer:
|
// Engineer:
|
//
|
//
|
// Create Date: 19:57:35 10/31/2010
|
// Create Date: 19:57:35 10/31/2010
|
Line 17... |
Line 18... |
// Revision 0.01 - File Created
|
// Revision 0.01 - File Created
|
// Additional Comments:
|
// Additional Comments:
|
//
|
//
|
//////////////////////////////////////////////////////////////////////////////////
|
//////////////////////////////////////////////////////////////////////////////////
|
module HalfDuplexUartIf(
|
module HalfDuplexUartIf(
|
input nReset,
|
input wire nReset,
|
input clk,
|
input wire clk,
|
input [DIVIDER_WIDTH-1:0] clkPerCycle,
|
input wire [DIVIDER_WIDTH-1:0] clkPerCycle,
|
input [7:0] dataIn,
|
input wire [7:0] dataIn,
|
input nWeDataIn,
|
input wire nWeDataIn,
|
output [7:0] dataOut,
|
output wire [7:0] dataOut,
|
input nCsDataOut,
|
input wire nCsDataOut,
|
output [7:0] statusOut,
|
output wire [7:0] statusOut,
|
input nCsStatusOut,
|
input wire nCsStatusOut,
|
input serialIn,
|
input wire serialIn,
|
output serialOut,
|
output wire serialOut,
|
output comClk
|
output wire comClk
|
);
|
);
|
//parameters to override
|
//parameters to override
|
parameter DIVIDER_WIDTH = 1;
|
parameter DIVIDER_WIDTH = 1;
|
|
|
reg [7:0] dataReg;
|
reg [7:0] dataReg;
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.