OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [sources/] [RxCoreSelfContained.v] - Diff between revs 5 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 7
Line 41... Line 41...
    );
    );
 
 
//parameters to override
//parameters to override
parameter DIVIDER_WIDTH = 1;
parameter DIVIDER_WIDTH = 1;
parameter CLOCK_PER_BIT_WIDTH = 13;     //allow to support default speed of ISO7816
parameter CLOCK_PER_BIT_WIDTH = 13;     //allow to support default speed of ISO7816
 
parameter PRECISE_STOP_BIT = 0; //if 1, stopBit signal goes high exactly at start of stop bit instead of middle of parity bit
//invert the polarity of the output or not
//invert the polarity of the output or not
//parameter IN_POLARITY = 1'b0;
//parameter IN_POLARITY = 1'b0;
//parameter PARITY_POLARITY = 1'b1;
//parameter PARITY_POLARITY = 1'b1;
//default conventions
//default conventions
parameter START_BIT = 1'b0;
parameter START_BIT = 1'b0;
Line 73... Line 74...
                                .clear(bitClocksCounterClear),
                                .clear(bitClocksCounterClear),
                                .initVal(bitClocksCounterInitVal),
                                .initVal(bitClocksCounterInitVal),
                                .clk(clk),
                                .clk(clk),
                                .nReset(nReset));
                                .nReset(nReset));
 
 
RxCore rxCore (
RxCore #(       .CLOCK_PER_BIT_WIDTH(CLOCK_PER_BIT_WIDTH),
 
                                .PRECISE_STOP_BIT(PRECISE_STOP_BIT)
 
                                )
 
        rxCore (
    .dataOut(dataOut),
    .dataOut(dataOut),
    .overrunErrorFlag(overrunErrorFlag),
    .overrunErrorFlag(overrunErrorFlag),
    .dataOutReadyFlag(dataOutReadyFlag),
    .dataOutReadyFlag(dataOutReadyFlag),
    .frameErrorFlag(frameErrorFlag),
    .frameErrorFlag(frameErrorFlag),
    .endOfRx(endOfRx),
    .endOfRx(endOfRx),
Line 95... Line 99...
        .bitClocksCounterEarlyMatch(bitClocksCounterEarlyMatch),
        .bitClocksCounterEarlyMatch(bitClocksCounterEarlyMatch),
   .bitClocksCounterMatch(bitClocksCounterMatch),
   .bitClocksCounterMatch(bitClocksCounterMatch),
        .bitClocksCounterCompare(bitClocksCounterCompare),
        .bitClocksCounterCompare(bitClocksCounterCompare),
        .bitClocksCounterInc(bitClocksCounterInc),
        .bitClocksCounterInc(bitClocksCounterInc),
        .bitClocksCounterClear(bitClocksCounterClear),
        .bitClocksCounterClear(bitClocksCounterClear),
        .bitClocksCounterInitVal(bitClocksCounterInitVal)
        .bitClocksCounterInitVal(bitClocksCounterInitVal),
 
        .bitClocksCounter(bitClocksCounter)
    );
    );
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.