OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [sources/] [Uart.v] - Diff between revs 10 and 11

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 10 Rev 11
Line 1... Line 1...
`timescale 1ns / 1ps
/*
 
Author: Sebastien Riou (acapola)
 
Creation date: 23:57:02 08/31/2010
 
 
 
$LastChangedDate: 2011-01-29 13:16:17 +0100 (Sat, 29 Jan 2011) $
 
$LastChangedBy: acapola $
 
$LastChangedRevision: 11 $
 
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/sources/Uart.v $
 
 
 
This file is under the BSD licence:
 
Copyright (c) 2011, Sebastien Riou
 
 
 
All rights reserved.
 
 
 
Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:
 
 
 
Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
 
Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.
 
The names of contributors may not be used to endorse or promote products derived from this software without specific prior written permission.
 
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
 
"AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
 
LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
 
A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR
 
CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
 
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
 
PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
 
PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
 
LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
 
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
 
SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 
*/
`default_nettype none
`default_nettype none
//////////////////////////////////////////////////////////////////////////////////
`timescale 1ns / 1ps
// Company: 
 
// Engineer: Sebastien Riou
/*
// 
Half duplex UART with 1 byte buffer
// Create Date:    23:57:02 08/31/2010 
*/
// Design Name: 
module BasicHalfDuplexUart
// Module Name:    Uart 
#(//parameters to override
// Project Name: 
        parameter DIVIDER_WIDTH = 1,
// Target Devices: 
        parameter CLOCK_PER_BIT_WIDTH = 13,     //allow to support default speed of ISO7816
// Tool versions: 
        //invert the polarity of the output or not
// Description: Half duplex UART with 1 byte buffer
        parameter IN_POLARITY = 1'b0,
//
        parameter PARITY_POLARITY = 1'b1,
// Dependencies: 
        //default conventions
//
        parameter START_BIT = 1'b0,
// Revision: 
        parameter STOP_BIT1 = 1'b1,
// Revision 0.01 - File Created
        parameter STOP_BIT2 = 1'b1
// Additional Comments: 
)
//
(
//////////////////////////////////////////////////////////////////////////////////
 
module BasicHalfDuplexUart(
 
    output wire [7:0] rxData,
    output wire [7:0] rxData,
    output wire overrunErrorFlag,       //new data has been received before dataOut was read
    output wire overrunErrorFlag,       //new data has been received before dataOut was read
    output wire dataOutReadyFlag,       //new data available
    output wire dataOutReadyFlag,       //new data available
    output wire frameErrorFlag,         //bad parity or bad stop bits
    output wire frameErrorFlag,         //bad parity or bad stop bits
    output wire txRun,                                  //tx is started
    output wire txRun,                                  //tx is started
Line 45... Line 73...
         input wire ackFlags,
         input wire ackFlags,
         input wire clk,
         input wire clk,
    input wire nReset
    input wire nReset
    );
    );
 
 
//parameters to override
 
parameter DIVIDER_WIDTH = 1;
 
parameter CLOCK_PER_BIT_WIDTH = 13;     //allow to support default speed of ISO7816
 
//invert the polarity of the output or not
 
parameter IN_POLARITY = 1'b0;
 
parameter PARITY_POLARITY = 1'b1;
 
//default conventions
 
parameter START_BIT = 1'b0;
 
parameter STOP_BIT1 = 1'b1;
 
parameter STOP_BIT2 = 1'b1;
 
 
 
//constant definition for states
//constant definition for states
localparam IDLE_STATE =         3'b000;
localparam IDLE_STATE =         3'b000;
localparam RX_STATE =   3'b001;
localparam RX_STATE =   3'b001;
localparam TX_STATE =   3'b011;
localparam TX_STATE =   3'b011;
 
 
Line 139... Line 156...
   .clk(clk),
   .clk(clk),
   .nReset(nReset)
   .nReset(nReset)
);
);
 
 
endmodule
endmodule
 
`default_nettype wire
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.