OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [DummyCard.v] - Diff between revs 12 and 13

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 12 Rev 13
Line 1... Line 1...
/*
/*
Author: Sebastien Riou (acapola)
Author: Sebastien Riou (acapola)
Creation date: 22:22:43 01/10/2011
Creation date: 22:22:43 01/10/2011
 
 
$LastChangedDate: 2011-01-29 17:13:49 +0100 (Sat, 29 Jan 2011) $
$LastChangedDate: 2011-02-09 13:34:14 +0100 (Wed, 09 Feb 2011) $
$LastChangedBy: acapola $
$LastChangedBy: acapola $
$LastChangedRevision: 12 $
$LastChangedRevision: 13 $
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/DummyCard.v $
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/DummyCard.v $
 
 
This file is under the BSD licence:
This file is under the BSD licence:
Copyright (c) 2011, Sebastien Riou
Copyright (c) 2011, Sebastien Riou
 
 
Line 92... Line 92...
 
 
 
 
/*T=0 card model
/*T=0 card model
 
 
ATR:
ATR:
        3B 00
        3B/3F 94 97 80 1F 42 BA BE BA BE
 
 
Implemented commands:
Implemented commands:
        write buffer:
        write buffer:
                tpdu: 00 0C 00 00 LC data
                tpdu: 00 0C 00 00 LC data
                sw:   90 00
                sw:   90 00
Line 147... Line 147...
                cyclesPerEtu <= 13'd372-1'b1;
                cyclesPerEtu <= 13'd372-1'b1;
        end else if(tsCnt!=9'd400) begin
        end else if(tsCnt!=9'd400) begin
                tsCnt <= tsCnt + 1'b1;
                tsCnt <= tsCnt + 1'b1;
        end else if(sendAtr) begin
        end else if(sendAtr) begin
                sendAtr<=1'b0;
                sendAtr<=1'b0;
                sendHexBytes("3B00");
                //sendHexBytes("3B00");
 
                sendHexBytes("3B");
 
                //sendHexBytes("3F");
 
                sendHexBytes("9497801F42BABEBABE");
                waitEndOfTx;
                waitEndOfTx;
        end else begin
        end else begin
                //get CLA
                //get CLA
                receiveByte(tpduHeader[CLA_I+:8]);
                receiveByte(tpduHeader[CLA_I+:8]);
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.