OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [DummyCard.v] - Diff between revs 13 and 14

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 13 Rev 14
Line 1... Line 1...
/*
/*
Author: Sebastien Riou (acapola)
Author: Sebastien Riou (acapola)
Creation date: 22:22:43 01/10/2011
Creation date: 22:22:43 01/10/2011
 
 
$LastChangedDate: 2011-02-09 13:34:14 +0100 (Wed, 09 Feb 2011) $
$LastChangedDate: 2011-02-10 16:40:57 +0100 (Thu, 10 Feb 2011) $
$LastChangedBy: acapola $
$LastChangedBy: acapola $
$LastChangedRevision: 13 $
$LastChangedRevision: 14 $
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/DummyCard.v $
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/DummyCard.v $
 
 
This file is under the BSD licence:
This file is under the BSD licence:
Copyright (c) 2011, Sebastien Riou
Copyright (c) 2011, Sebastien Riou
 
 
Line 93... Line 93...
 
 
/*T=0 card model
/*T=0 card model
 
 
ATR:
ATR:
        3B/3F 94 97 80 1F 42 BA BE BA BE
        3B/3F 94 97 80 1F 42 BA BE BA BE
 
        3B 9E 96 80 1F C7 80 31 E0 73 FE 21 1B 66 D0 00 28 24 01 00 0D
 
 
 
 
Implemented commands:
Implemented commands:
        write buffer:
        write buffer:
                tpdu: 00 0C 00 00 LC data
                tpdu: 00 0C 00 00 LC data
                sw:   90 00
                sw:   90 00
Line 150... Line 152...
        end else if(sendAtr) begin
        end else if(sendAtr) begin
                sendAtr<=1'b0;
                sendAtr<=1'b0;
                //sendHexBytes("3B00");
                //sendHexBytes("3B00");
                sendHexBytes("3B");
                sendHexBytes("3B");
                //sendHexBytes("3F");
                //sendHexBytes("3F");
                sendHexBytes("9497801F42BABEBABE");
                //sendHexBytes("9497801F42BABEBABE");
 
                //sendHexBytes("9E 97 80 1F C7 80 31 E0 73 FE 21 1B 66 D0 00 28 24 01 00 0D");
 
                sendHexBytes("9E 97 80 1F C7 80 31 E0 73 FE 21 1B 66 D0 00 28 24 01 00 ");
                waitEndOfTx;
                waitEndOfTx;
        end else begin
        end else begin
                //get CLA
                //get CLA
                receiveByte(tpduHeader[CLA_I+:8]);
                receiveByte(tpduHeader[CLA_I+:8]);
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.