OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [DummyCard.v] - Diff between revs 7 and 9

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 7 Rev 9
Line 107... Line 107...
begin
begin
        sendAckByte;
        sendAckByte;
        for(i=0;i<tpduHeader[P3_I+7:P3_I];i=i+1) begin
        for(i=0;i<tpduHeader[P3_I+7:P3_I];i=i+1) begin
                receiveByte(buffer[i]);
                receiveByte(buffer[i]);
        end
        end
        sendWord(16'h9000);
        sendHexBytes("9000");//sendWord(16'h9000);
end
end
endtask
endtask
 
 
task readBufferCmd;
task readBufferCmd;
integer i;
integer i;
Line 121... Line 121...
        le=tpduHeader[P3_I+7:P3_I];
        le=tpduHeader[P3_I+7:P3_I];
        if(0==le) le=256;
        if(0==le) le=256;
        for(i=0;i<le;i=i+1) begin
        for(i=0;i<le;i=i+1) begin
                sendByte(buffer[i]);
                sendByte(buffer[i]);
        end
        end
        sendWord(16'h9000);
        sendHexBytes("9000");//sendWord(16'h9000);
end
end
endtask
endtask
 
 
integer i;
integer i;
always @(posedge isoClk, negedge isoReset) begin
always @(posedge isoClk, negedge isoReset) begin
Line 138... Line 138...
                cyclesPerEtu <= 13'd372-1'b1;
                cyclesPerEtu <= 13'd372-1'b1;
        end else if(tsCnt!=9'd400) begin
        end else if(tsCnt!=9'd400) begin
                tsCnt <= tsCnt + 1'b1;
                tsCnt <= tsCnt + 1'b1;
        end else if(sendAtr) begin
        end else if(sendAtr) begin
                sendAtr<=1'b0;
                sendAtr<=1'b0;
                sendByte(8'h3B);
                sendHexBytes("3B00");
                sendByte(8'h00);
 
                waitEndOfTx;
                waitEndOfTx;
        end else begin
        end else begin
                //get tpdu
                //get tpdu
                for(i=0;i<5;i=i+1)
                for(i=0;i<5;i=i+1)
                        receiveByte(tpduHeader[(CLA_I-(i*8))+:8]);
                        receiveByte(tpduHeader[(CLA_I-(i*8))+:8]);
                //dispatch
                //dispatch
                case(tpduHeader[7+CLA_I:P2_I])
                case(tpduHeader[7+CLA_I:P2_I])
                                32'h000C0000: writeBufferCmd;
                                32'h000C0000: writeBufferCmd;
                                32'h000A0000: readBufferCmd;
                                32'h000A0000: readBufferCmd;
                                default: sendWord(16'h6986);
                                default: sendHexBytes("6986");//sendWord(16'h6986);
                endcase
                endcase
        end
        end
end
end
 
 
endmodule
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.