OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [FiDiAnalyzer.v] - Diff between revs 11 and 12

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 11 Rev 12
Line 1... Line 1...
/*
/*
Author: Sebastien Riou (acapola)
Author: Sebastien Riou (acapola)
Creation date: 22:22:43 01/10/2011
Creation date: 22:22:43 01/10/2011
 
 
$LastChangedDate: 2011-01-29 13:16:17 +0100 (Sat, 29 Jan 2011) $
$LastChangedDate: 2011-01-29 17:13:49 +0100 (Sat, 29 Jan 2011) $
$LastChangedBy: acapola $
$LastChangedBy: acapola $
$LastChangedRevision: 11 $
$LastChangedRevision: 12 $
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/FiDiAnalyzer.v $
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/FiDiAnalyzer.v $
 
 
This file is under the BSD licence:
This file is under the BSD licence:
Copyright (c) 2011, Sebastien Riou
Copyright (c) 2011, Sebastien Riou
 
 
Line 45... Line 45...
assign {fi,fMax} = fiStuff;
assign {fi,fMax} = fiStuff;
always @(*) begin:fiBlock
always @(*) begin:fiBlock
        case(fiCode)
        case(fiCode)
                4'b0000: fiStuff = {12'd0372,8'd040};
                4'b0000: fiStuff = {12'd0372,8'd040};
                4'b0001: fiStuff = {12'd0372,8'd050};
                4'b0001: fiStuff = {12'd0372,8'd050};
                4'b0010: fiStuff = {12'd0372,8'd060};
                4'b0010: fiStuff = {12'd0558,8'd060};
                4'b0011: fiStuff = {12'd0372,8'd080};
                4'b0011: fiStuff = {12'd0744,8'd080};
                4'b0100: fiStuff = {12'd0372,8'd120};
                4'b0100: fiStuff = {12'd1116,8'd120};
                4'b0101: fiStuff = {12'd0372,8'd160};
                4'b0101: fiStuff = {12'd1488,8'd160};
                4'b0110: fiStuff = {12'd0372,8'd200};
                4'b0110: fiStuff = {12'd1860,8'd200};
                4'b0111: fiStuff = {12'd0000,8'd000};
                4'b0111: fiStuff = {12'd0000,8'd000};
                4'b1000: fiStuff = {12'd0000,8'd000};
                4'b1000: fiStuff = {12'd0000,8'd000};
                4'b1001: fiStuff = {12'd0372,8'd050};
                4'b1001: fiStuff = {12'd0512,8'd050};
                4'b1010: fiStuff = {12'd0372,8'd075};
                4'b1010: fiStuff = {12'd0768,8'd075};
                4'b1011: fiStuff = {12'd0372,8'd100};
                4'b1011: fiStuff = {12'd1024,8'd100};
                4'b1100: fiStuff = {12'd0372,8'd150};
                4'b1100: fiStuff = {12'd1536,8'd150};
                4'b1101: fiStuff = {12'd0372,8'd200};
                4'b1101: fiStuff = {12'd2048,8'd200};
                4'b1110: fiStuff = {12'd0000,8'd000};
                4'b1110: fiStuff = {12'd0000,8'd000};
                4'b1111: fiStuff = {12'd0000,8'd000};
                4'b1111: fiStuff = {12'd0000,8'd000};
        endcase
        endcase
end
end
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.