OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [FiDiAnalyzer.v] - Diff between revs 12 and 18

Show entire file | Details | Blame | View Log

Rev 12 Rev 18
Line 1... Line 1...
/*
/*
Author: Sebastien Riou (acapola)
Author: Sebastien Riou (acapola)
Creation date: 22:22:43 01/10/2011
Creation date: 22:22:43 01/10/2011
 
 
$LastChangedDate: 2011-01-29 17:13:49 +0100 (Sat, 29 Jan 2011) $
$LastChangedDate: 2011-03-07 14:17:52 +0100 (Mon, 07 Mar 2011) $
$LastChangedBy: acapola $
$LastChangedBy: acapola $
$LastChangedRevision: 12 $
$LastChangedRevision: 18 $
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/FiDiAnalyzer.v $
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/FiDiAnalyzer.v $
 
 
This file is under the BSD licence:
This file is under the BSD licence:
Copyright (c) 2011, Sebastien Riou
Copyright (c) 2011, Sebastien Riou
 
 
Line 39... Line 39...
        output reg [7:0] di,
        output reg [7:0] di,
        output reg [12:0] cyclesPerEtu, //truncate values to 'floor' integer value
        output reg [12:0] cyclesPerEtu, //truncate values to 'floor' integer value
        output wire [7:0] fMax                           //in 0.1MHz units
        output wire [7:0] fMax                           //in 0.1MHz units
        );
        );
 
 
reg [13+8:0] fiStuff;
reg [13+8-1:0] fiStuff;
assign {fi,fMax} = fiStuff;
assign {fi,fMax} = fiStuff;
always @(*) begin:fiBlock
always @(*) begin:fiBlock
        case(fiCode)
        case(fiCode)
                4'b0000: fiStuff = {12'd0372,8'd040};
                4'b0000: fiStuff = {12'd0372,8'd040};
                4'b0001: fiStuff = {12'd0372,8'd050};
                4'b0001: fiStuff = {12'd0372,8'd050};

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.