OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [HammingWeight.v] - Diff between revs 6 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 8
Line 12... Line 12...
input wire [DATA_WIDTH-1:0] dataIn;
input wire [DATA_WIDTH-1:0] dataIn;
output reg [WEIGHT_WIDTH-1:0] hammingWeight;
output reg [WEIGHT_WIDTH-1:0] hammingWeight;
 
 
always @(*) begin:hamminWeightBlock
always @(*) begin:hamminWeightBlock
        integer i;
        integer i;
 
        hammingWeight=0;
        for(i=0;i<DATA_WIDTH;i=i+1) begin
        for(i=0;i<DATA_WIDTH;i=i+1) begin
                hammingWeight=hammingWeight + dataIn[i];
                hammingWeight=hammingWeight + dataIn[i];
        end
        end
end
end
endmodule
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.