OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [RxCoreTestBench.v] - Diff between revs 3 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 5
Line 144... Line 144...
        wire overrunErrorFlag;
        wire overrunErrorFlag;
        wire dataOutReadyFlag;
        wire dataOutReadyFlag;
        wire frameErrorFlag;
        wire frameErrorFlag;
        wire run;
        wire run;
        wire startBit;
        wire startBit;
 
        wire stopBit;
 
 
 
 
reg serialIn;
reg serialIn;
assign #1 realSerialIn = serialIn;
assign #1 realSerialIn = serialIn;
 
 
Line 160... Line 161...
                .dataOutReadyFlag(dataOutReadyFlag),
                .dataOutReadyFlag(dataOutReadyFlag),
                .frameErrorFlag(frameErrorFlag),
                .frameErrorFlag(frameErrorFlag),
                .endOfRx(endOfRx),
                .endOfRx(endOfRx),
      .run(run),
      .run(run),
                .startBit(startBit),
                .startBit(startBit),
 
                .stopBit(stopBit),
                .clocksPerBit(clocksPerBit),
                .clocksPerBit(clocksPerBit),
                .stopBit2(stopBit2),
                .stopBit2(stopBit2),
                .ackFlags(ackFlags),
                .ackFlags(ackFlags),
                .serialIn(realSerialIn),
                .serialIn(realSerialIn),
                .clk(clk),
                .clk(clk),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.