OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [tbIso7816_3_Master.v] - Diff between revs 15 and 18

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 15 Rev 18
Line 1... Line 1...
/*
/*
Author: Sebastien Riou (acapola)
Author: Sebastien Riou (acapola)
Creation date: 22:16:42 01/10/2011
Creation date: 22:16:42 01/10/2011
 
 
$LastChangedDate: 2011-02-13 16:20:10 +0100 (Sun, 13 Feb 2011) $
$LastChangedDate: 2011-03-07 14:17:52 +0100 (Mon, 07 Mar 2011) $
$LastChangedBy: acapola $
$LastChangedBy: acapola $
$LastChangedRevision: 15 $
$LastChangedRevision: 18 $
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/tbIso7816_3_Master.v $
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/tbIso7816_3_Master.v $
 
 
This file is under the BSD licence:
This file is under the BSD licence:
Copyright (c) 2011, Sebastien Riou
Copyright (c) 2011, Sebastien Riou
 
 
Line 65... Line 65...
 
 
        // Bidirs
        // Bidirs
        wire isoSioTerm;
        wire isoSioTerm;
        wire isoSioCard;
        wire isoSioCard;
 
 
 
wire isTxTerm;
 
reg isoSioInTerm;
 
wire isoSioOutTerm;
 
assign isoSioTerm = isTx ? isoSioOutTerm : 1'bz;
 
pullup(isoSioTerm);
 
always @(*) isoSioInTerm = isoSioTerm;
 
 
wire COM_statusOut=statusOut;
wire COM_statusOut=statusOut;
wire COM_clk=isoClk;
wire COM_clk=isoClk;
integer COM_errorCnt;
integer COM_errorCnt;
 
 
wire txRun,txPending, rxRun, rxStartBit, isTx, overrunErrorFlag, frameErrorFlag, bufferFull;
wire txRun,txPending, rxRun, rxStartBit, isTx, overrunErrorFlag, frameErrorFlag, bufferFull;
Line 111... Line 118...
                .useIndirectConvention(useIndirectConvention),
                .useIndirectConvention(useIndirectConvention),
                .tsError(tsError),
                .tsError(tsError),
                .tsReceived(tsReceived),
                .tsReceived(tsReceived),
                .atrIsEarly(atrIsEarly),
                .atrIsEarly(atrIsEarly),
                .atrIsLate(atrIsLate),
                .atrIsLate(atrIsLate),
                .isoSio(isoSioTerm),
                //.isoSio(isoSioTerm), 
 
                .isTx(isTxTerm),
 
                .isoSioIn(isoSioInTerm),
 
                .isoSioOut(isoSioOutTerm),
                .isoClk(isoClk),
                .isoClk(isoClk),
                .isoReset(isoReset),
                .isoReset(isoReset),
                .isoVdd(isoVdd)
                .isoVdd(isoVdd)
        );
        );
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.