OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [tbIso7816_3_Master.v] - Diff between revs 6 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 7
Line 30... Line 30...
        reg [15:0] clkPerCycle;
        reg [15:0] clkPerCycle;
        reg startActivation;
        reg startActivation;
        reg startDeactivation;
        reg startDeactivation;
        reg [7:0] dataIn;
        reg [7:0] dataIn;
        reg nWeDataIn;
        reg nWeDataIn;
        reg [12:0] cyclePerEtu;
        reg [12:0] cyclesPerEtu;
        reg nCsDataOut;
        reg nCsDataOut;
        reg nCsStatusOut;
        reg nCsStatusOut;
 
 
        // Outputs
        // Outputs
        wire [7:0] dataOut;
        wire [7:0] dataOut;
Line 73... Line 73...
wire [3:0] spy_atrK;
wire [3:0] spy_atrK;
wire spy_atrHasTck,spy_atrCompleted;
wire spy_atrHasTck,spy_atrCompleted;
wire spy_useT0,spy_useT1,spy_useT15,spy_waitCardTx,spy_waitTermTx,spy_cardTx,spy_termTx,spy_guardTime;
wire spy_useT0,spy_useT1,spy_useT15,spy_waitCardTx,spy_waitTermTx,spy_cardTx,spy_termTx,spy_guardTime;
wire spy_overrunError,spy_frameError;
wire spy_overrunError,spy_frameError;
wire [7:0] spy_lastByte;
wire [7:0] spy_lastByte;
 
wire [31:0] spy_bytesCnt;
 
 
        // Instantiate the Unit Under Test (UUT)
        // Instantiate the Unit Under Test (UUT)
        Iso7816_3_Master uut (
        Iso7816_3_Master uut (
                .nReset(nReset),
                .nReset(nReset),
                .clk(clk),
                .clk(clk),
                .clkPerCycle(clkPerCycle),
                .clkPerCycle(clkPerCycle),
                .startActivation(startActivation),
                .startActivation(startActivation),
                .startDeactivation(startDeactivation),
                .startDeactivation(startDeactivation),
                .dataIn(dataIn),
                .dataIn(dataIn),
                .nWeDataIn(nWeDataIn),
                .nWeDataIn(nWeDataIn),
                .cyclePerEtu(cyclePerEtu),
                .cyclesPerEtu(cyclesPerEtu),
                .dataOut(dataOut),
                .dataOut(dataOut),
                .nCsDataOut(nCsDataOut),
                .nCsDataOut(nCsDataOut),
                .statusOut(statusOut),
                .statusOut(statusOut),
                .nCsStatusOut(nCsStatusOut),
                .nCsStatusOut(nCsStatusOut),
                .isActivated(isActivated),
                .isActivated(isActivated),
Line 140... Line 141...
    .cardTx(spy_cardTx),
    .cardTx(spy_cardTx),
    .termTx(spy_termTx),
    .termTx(spy_termTx),
    .guardTime(spy_guardTime),
    .guardTime(spy_guardTime),
    .overrunError(spy_overrunError),
    .overrunError(spy_overrunError),
    .frameError(spy_frameError),
    .frameError(spy_frameError),
    .lastByte(spy_lastByte)
    .lastByte(spy_lastByte),
 
    .bytesCnt(spy_bytesCnt)
    );
    );
 
 
 
 
        integer tbErrorCnt;
        integer tbErrorCnt;
        initial begin
        initial begin
Line 155... Line 157...
                clkPerCycle = 0;
                clkPerCycle = 0;
                startActivation = 0;
                startActivation = 0;
                startDeactivation = 0;
                startDeactivation = 0;
                dataIn = 0;
                dataIn = 0;
                nWeDataIn = 1'b1;
                nWeDataIn = 1'b1;
                cyclePerEtu = 0;
                cyclesPerEtu = 372-1;
                nCsDataOut = 1'b1;
                nCsDataOut = 1'b1;
                nCsStatusOut = 1'b1;
                nCsStatusOut = 1'b1;
 
 
                // Wait 100 ns for global reset to finish
                // Wait 100 ns for global reset to finish
                #100;
                #100;
Line 204... Line 206...
                receiveAndCheckByte(8'h90);
                receiveAndCheckByte(8'h90);
                receiveAndCheckByte(8'h00);
                receiveAndCheckByte(8'h00);
        end
        end
        initial begin
        initial begin
                // timeout
                // timeout
                #100000;
                #10000000;
      tbErrorCnt=tbErrorCnt+1;
      tbErrorCnt=tbErrorCnt+1;
      $display("ERROR: timeout expired");
      $display("ERROR: timeout expired");
      #10;
      #10;
                $finish;
                $finish;
        end
        end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.