OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [branches/] [ver0branch/] [powerGrid.vhd] - Diff between revs 54 and 58

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 54 Rev 58
Line 25... Line 25...
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
package powerGrid is
package powerGrid is
 
        -- A scan flip flop, aka selectable input ff.
 
        component scanFF
 
                generic (
 
                W       : integer := 8);
 
                port    (
 
                clk,rst,ena,sel         : std_logic; -- The usual  control signals
 
 
 
                d0,d1   : std_logic_vector (W-1 downto 0);       -- The two operands.
 
                q               : std_logic_vector (W-1 downto 0)        -- The selected data.
 
 
 
                );
 
        end component;
        --A one stage pipe (1 Clk) a+b+c with w width bits in input as well as output.
        --A one stage pipe (1 Clk) a+b+c with w width bits in input as well as output.
        --As a fixed signed addtion we have:
        --As a fixed signed addtion we have:
        -- A(B,C) ====> B+C SIGNED BITS FORMAT : 1 bit for sign, B bits for integer part, C bits for decimal part. (FORMAT)
        -- A(B,C) ====> B+C SIGNED BITS FORMAT : 1 bit for sign, B bits for integer part, C bits for decimal part. (FORMAT)
        -- A(15,20)*A(15,20) = A(15,20). (This component format)
        -- A(15,20)*A(15,20) = A(15,20). (This component format)
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.