Line 30... |
Line 30... |
-- A scan flip flop, aka selectable input ff.
|
-- A scan flip flop, aka selectable input ff.
|
component scanFF
|
component scanFF
|
generic (
|
generic (
|
W : integer := 8);
|
W : integer := 8);
|
port (
|
port (
|
clk,rst,ena,sel : std_logic; -- The usual control signals
|
clk,rst,ena,sel : in std_logic; -- The usual control signals
|
|
|
d0,d1 : std_logic_vector (W-1 downto 0); -- The two operands.
|
d0,d1 : in std_logic_vector (W-1 downto 0); -- The two operands.
|
q : std_logic_vector (W-1 downto 0) -- The selected data.
|
q : out std_logic_vector (W-1 downto 0) -- The selected data.
|
|
|
);
|
);
|
end component;
|
end component;
|
--A one stage pipe (1 Clk) a+b+c with w width bits in input as well as output.
|
--A one stage pipe (1 Clk) a+b+c with w width bits in input as well as output.
|
--As a fixed signed addtion we have:
|
--As a fixed signed addtion we have:
|
Line 198... |
Line 198... |
port (
|
port (
|
-- The usual control signals.
|
-- The usual control signals.
|
clk, rst, pipeOn : in std_logic;
|
clk, rst, pipeOn : in std_logic;
|
|
|
|
|
|
intd : in std_logic;
|
|
intq : out std_logic;
|
-- This is the reference column identification input.
|
-- This is the reference column identification input.
|
cIdd : in std_logic_vector (IDW - 1 downto 0);
|
cIdd : in std_logic_vector (IDW - 1 downto 0);
|
-- This is the result column identification output.
|
-- This is the result column identification output.
|
cIdq : out std_logic_vector (IDW - 1 downto 0);
|
cIdq : out std_logic_vector (IDW - 1 downto 0);
|
|
refk : in std_logic_vector (W1 - 1 downto 0); -- This is the columns sphere constant
|
|
colk : in std_logic_vector (W1 - 1 downto 0); -- This is the reference sphere constant
|
|
selk : out std_logic_vector (W1 - 1 downto 0); -- This is the selected sphere constant
|
-- This is the reference projection incoming from the previous cell.
|
-- This is the reference projection incoming from the previous cell.
|
refvd : in std_logic_vector (W1 - 1 downto 0);
|
refvd : in std_logic_vector (W1 - 1 downto 0);
|
-- This is the sphere position over the ray traced vector projection.
|
-- This is the sphere position over the ray traced vector projection.
|
colvd : in std_logic_vector (W1 - 1 downto 0);
|
colvd : in std_logic_vector (W1 - 1 downto 0);
|
-- This is the smallest value between refvd and colvd.
|
-- This is the smallest value between refvd and colvd.
|