OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [branches/] [ver0branch/] [urs.vhd] - Diff between revs 79 and 80

Show entire file | Details | Blame | View Log

Rev 79 Rev 80
Line 114... Line 114...
 
 
 
 
                        slockq          <='0';
                        slockq          <='0';
                        urs                     <='0';
                        urs                     <='0';
                        linecounter := 0;
                        linecounter := 0;
                        gridindex       := 0;
                        gridindex       := 1;
 
 
                elsif rising_edge(clk) and ena='1' then
                elsif rising_edge(clk) and ena='1' then
                        y <= sypos;
                        y <= sypos;
                        -- Calculate the locked 
                        -- Calculate the locked 
                        if slockq = '1' then -- If we already load the initial ypos value, then we must be unlocked!
                        if slockq = '1' then -- If we already load the initial ypos value, then we must be unlocked!
                                if slockd = '1' then
                                for i in 0 to GRIDS-1 loop
 
                                        if gridindex=i then
 
                                                grid_enable(i)<='1';
 
                                        else
 
                                                grid_enable(i)<='0';
 
                                        end if;
 
                                end loop;
 
                                gridindex:=gridindex+1;
 
                                if slockd = '1' then -- This is the end....  of the line....... my friend... This is the end...... 
                                        if linecounter = (SCREENW/2)-1 then
                                        if linecounter = (SCREENW/2)-1 then
                                                urs <= '1'; -- Finished the URS.
                                                urs <= '1'; -- Finished the URS.
                                        else
                                        else
                                                linecounter:=linecounter+1;
                                                linecounter:=linecounter+1;
                                        end if;
                                        end if;
Line 135... Line 143...
 
 
                                slockq <= slockd or slockq;
                                slockq <= slockd or slockq;
 
 
                        end if;
                        end if;
 
 
                        -- Calculate the enable. (One Hot Deco)
 
                        for i in 0 to GRIDS-1 loop
 
                                if i = gridindex then
 
                                        grid_enable(i)<='1';
 
                                else
 
                                        grid_enable(i)<='0';
 
                                end if;
 
                        end loop;
 
                        gridindex:=gridindex+1;
 
 
 
                end if;
                end if;
 
 
        end process;
        end process;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.