OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [branches/] [ver0branch/] [zu.vhd] - Diff between revs 72 and 75

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 72 Rev 75
Line 21... Line 21...
    -- You should have received a copy of the GNU General Public License
    -- You should have received a copy of the GNU General Public License
    -- along with JART (Just Another Ray Tracer).  If not, see <http://www.gnu.org/licenses/>.library ieee;
    -- along with JART (Just Another Ray Tracer).  If not, see <http://www.gnu.org/licenses/>.library ieee;
 
 
-- Zu synthesises the z and x components of the unitary vectors along an image vertical and/or horizontal line respectively. 
-- Zu synthesises the z and x components of the unitary vectors along an image vertical and/or horizontal line respectively. 
-- For the jart project Zu must be used with the following values:
-- For the jart project Zu must be used with the following values:
-- When synthesising X , VALSTART must be 34, when synthesising Z, VALSTART must be 4.  
-- When synthesising X , VALSTART must be 34, when synthesising Z, VALSTART must be 9.  
 
 
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_signed.all;
use ieee.std_logic_signed.all;
 
 
 
 
entity zu is
entity zu is
        generic
        generic
        (
        (
                VALSTART                : integer := 15
                VALSTART                : integer := 9
        );
        );
        port (
        port (
 
 
                clk, rst, ena   : in std_logic; -- The usual control signals
                clk, rst, ena   : in std_logic; -- The usual control signals
                clr                             : in std_logic;
                clr                             : in std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.