OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [branches/] [ver0branch/] [zu.vhd] - Diff between revs 75 and 78

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 75 Rev 78
Line 33... Line 33...
 
 
entity zu is
entity zu is
        generic
        generic
        (
        (
                VALSTART                : integer := 9
                VALSTART                : integer := 9
 
                TOP                             : integer := 1024;
        );
        );
        port (
        port (
 
 
                clk, rst, ena   : in std_logic; -- The usual control signals
                clk, rst, ena   : in std_logic; -- The usual control signals
                clr                             : in std_logic;
                clr                             : in std_logic;
                zpos                    : out integer range -1024 to 1023;
                zpos                    : out integer range -TOP to TOP-1;
                zneg                    : out integer range -1024 to 1023
                zneg                    : out integer range -TOP to TOP-1;
        );
        );
 
 
end entity;
end entity;
 
 
architecture rtl of zu is
architecture rtl of zu is

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.