Line 26... |
Line 26... |
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use work.powerGrid.all;
|
use work.powerGrid.all;
|
|
|
entity dotCell is
|
entity dotCell is
|
generic ( levelW : integer := 18; -- Actual Level Width
|
|
nLevelW : integer := 32); -- Next Level Width
|
generic ( RV : string := "yes";
|
|
W0 : integer := 18; -- Actual Level Width
|
|
W1 : integer := 32); -- Next Level Width
|
port ( clk : in std_logic;
|
port ( clk : in std_logic;
|
rst : in std_logic;
|
rst : in std_logic;
|
|
|
-- Object control.
|
-- Object control.
|
nxtSphere : in std_logic; -- This signal controls when the sphere center goes to the next row.
|
nxtSphere : in std_logic; -- This signal controls when the sphere center goes to the next row.
|
nxtRay : in std_logic; -- This signal controls when the ray goes to the next column.
|
nxtRay : in std_logic; -- This signal controls when the ray goes to the next column.
|
|
|
-- First Side.
|
-- First Side.
|
vxInput : in std_logic_vector(levelW-1 downto 0);
|
vxInput : in std_logic_vector(W0-1 downto 0);
|
vyInput : in std_logic_vector(levelW-1 downto 0);
|
vyInput : in std_logic_vector(W0-1 downto 0);
|
vzInput : in std_logic_vector(levelW-1 downto 0);
|
vzInput : in std_logic_vector(W0-1 downto 0);
|
|
|
-- Second Side (Opposite to the first one)
|
-- Second Side (Opposite to the first one)
|
vxOutput : out std_logic_vector(levelW-1 downto 0);
|
vxOutput : out std_logic_vector(W0-1 downto 0);
|
vyOutput : out std_logic_vector(levelW-1 downto 0);
|
vyOutput : out std_logic_vector(W0-1 downto 0);
|
vzOutput : out std_logic_vector(levelW-1 downto 0);
|
vzOutput : out std_logic_vector(W0-1 downto 0);
|
|
|
-- Third Side (Perpendicular to the first and second ones)
|
-- Third Side (Perpendicular to the first and second ones)
|
dxInput : in std_logic_vector(levelW-1 downto 0);
|
dxInput : in std_logic_vector(W0-1 downto 0);
|
dyInput : in std_logic_vector(levelW-1 downto 0);
|
dyInput : in std_logic_vector(W0-1 downto 0);
|
dzInput : in std_logic_vector(levelW-1 downto 0);
|
dzInput : in std_logic_vector(W0-1 downto 0);
|
|
|
--Fourth Side (Opposite to the third one)
|
--Fourth Side (Opposite to the third one)
|
dxOutput : out std_logic_vector(levelW-1 downto 0);
|
dxOutput : out std_logic_vector(W0-1 downto 0);
|
dyOutput : out std_logic_vector(levelW-1 downto 0);
|
dyOutput : out std_logic_vector(W0-1 downto 0);
|
dzOutput : out std_logic_vector(levelW-1 downto 0);
|
dzOutput : out std_logic_vector(W0-1 downto 0);
|
|
|
--Fifth Side (Going to the floor right upstairs!)
|
--Fifth Side (Going to the floor right upstairs!)
|
vdOutput : out std_logic_vector(nLevelW-1 downto 0) -- Dot product.
|
vdOutput : out std_logic_vector(W1-1 downto 0) -- Dot product.
|
|
|
);
|
);
|
|
|
end entity;
|
end entity;
|
|
|
|
|
architecture rtl of dotCell is
|
architecture rtl of dotCell is
|
|
|
|
|
signal s36vd : std_logic_vector (2*LevelW-1 downto 0);
|
signal s36vd : std_logic_vector (2*W0-1 downto 0);
|
signal s36m0 : std_logic_vector (2*levelW-1 downto 0);
|
signal s36m0 : std_logic_vector (2*W0-1 downto 0);
|
signal s36m1 : std_logic_vector (2*levelW-1 downto 0);
|
signal s36m1 : std_logic_vector (2*W0-1 downto 0);
|
signal s36m2 : std_logic_vector (2*levelW-1 downto 0);
|
signal s36m2 : std_logic_vector (2*W0-1 downto 0);
|
|
|
signal pAdd : std_logic_vector (levelW-1 downto 0);
|
signal pAdd : std_logic_vector (W0-1 downto 0);
|
|
|
|
|
begin
|
begin
|
|
|
-- The Dotprod Machine
|
-- The Dotprod Machine
|
Line 105... |
Line 107... |
datab => dzInput,
|
datab => dzInput,
|
result => s36m2
|
result => s36m2
|
);
|
);
|
|
|
-- 36 bits a+b+c 1 stage pipe Adder.
|
-- 36 bits a+b+c 1 stage pipe Adder.
|
a0 : p1ax generic map ( W = 36 )
|
a0 : p1ax port map (
|
port map (
|
|
clk => clk,
|
clk => clk,
|
rst => rst,
|
rst => rst,
|
enable => nxtRay,
|
enable => nxtRay,
|
dataa => s36m0,
|
dataa => s36m0,
|
datab => s36m1,
|
datab => s36m1,
|
datac => s36m2,
|
datac => s36m2,
|
result => s36vd
|
result => s36vd
|
);
|
);
|
|
|
-- Truncate the less signifcative 4 bits 35 downto 4.
|
-- Truncate the less signifcative 4 bits 35 downto 4.
|
vdOutput <= s36vd (2*levelW-1 downto 2*levelW-nLevelW);
|
vdOutput <= s36vd (2*W0-1 downto 2*W0-W1);
|
|
|
-- Ray PipeLine
|
-- Ray PipeLine
|
rayPipeStage : process (clk,rst,nxtRay)
|
rayPipeStage : process (clk,rst,nxtRay)
|
begin
|
begin
|
|
|
Line 141... |
Line 142... |
end if;
|
end if;
|
|
|
end process;
|
end process;
|
|
|
-- Sphere Pipe Line
|
-- Sphere Pipe Line
|
|
registerV : if RV="yes" generate
|
|
|
spherePipeStage : process (clk,rst,nxtSphere)
|
spherePipeStage : process (clk,rst,nxtSphere)
|
begin
|
begin
|
if rst = '0' then
|
if rst = '0' then
|
|
|
-- There is no object center yet.
|
-- There is no object center yet.
|
Line 153... |
Line 156... |
vzOutput <= (others => '0');
|
vzOutput <= (others => '0');
|
|
|
elsif rising_edge (clk) and nxtSphere ='1' then
|
elsif rising_edge (clk) and nxtSphere ='1' then
|
|
|
-- Shift sphere to the next row.
|
-- Shift sphere to the next row.
|
|
|
vxOutput <= vxInput;
|
vxOutput <= vxInput;
|
vyOutput <= vyInput;
|
vyOutput <= vyInput;
|
vzOutput <= vzInput;
|
vzOutput <= vzInput;
|
|
|
end if;
|
end if;
|
|
|
end process;
|
end process;
|
|
|
|
end generate;
|
|
|
|
|
end rtl;
|
end rtl;
|
|
|
|
|