OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [trunk/] [BLRT/] [floor2Row.vhd] - Diff between revs 32 and 33

Show entire file | Details | Blame | View Log

Rev 32 Rev 33
Line 42... Line 42...
                        -- Input Values
                        -- Input Values
                        refvd   : in std_logic_vector (viw-1 downto 0);
                        refvd   : in std_logic_vector (viw-1 downto 0);
                        selvd   : out std_logic_vector (viw-1 downto 0);
                        selvd   : out std_logic_vector (viw-1 downto 0);
                        colvd   : in std_logic_vector (viw*col-1 downto 0);
                        colvd   : in std_logic_vector (viw*col-1 downto 0);
                        colid   : out std_logic_vector (idColW-1 downto 0);
                        colid   : out std_logic_vector (idColW-1 downto 0);
                        inter   : out std_logic_vector
                        inter   : out std_logic
        );
        );
end entity;
end entity;
 
 
architecture rtl of floor2Row is
architecture rtl of floor2Row is
 
 
        signal srefvd   : std_logic_vector ((col+1)*viw - 1 downto 0);   -- The minimun vd difussion nets.
        signal srefvd   : std_logic_vector ((col+1)*viw - 1 downto 0);   -- The minimun vd difussion nets.
        signal scolid   : std_logic_vector ((col+1)*idColW-1 downto 0);          -- The column id difussion nets.
        signal scolid   : std_logic_vector ((col+1)*idColW-1 downto 0);          -- The column id difussion nets.
        signal sinter   : std_logic_vector ((col+1) - 1 downto 0);               -- The intersection on set, difussion net.
        signal sinter   : std_logic_vector ((col+1) - 1 downto 0);               -- The intersection on set, difussion net.
begin
begin
 
 
        -- Conexiones hacia afuera!.
        -- External connections.
 
 
 
        -- The first comparison has a not yet intersection signal.
        sinter(0)<='0';
        sinter(0)<='0';
        scol(idColW-1 downto 0) <= (others=>'0');
        -- The first comparison has a refernce id of 0 (Always). 
 
        scolid(idColW-1 downto 0) <= (others=>'0');
 
        -- The selected vd output.      
        selvd <= srefvd ((col+1)*viw - 1 downto col*viw);
        selvd <= srefvd ((col+1)*viw - 1 downto col*viw);
 
        -- The selected sphere column.
        colid <= scolid ((col+1)*idColW-1 downto col*idColW);
        colid <= scolid ((col+1)*idColW-1 downto col*idColW);
 
        -- The intersection / no intersection signal.
        inter <= sinter(col);
        inter <= sinter(col);
 
 
        -- Comparadores.
        -- Comparadores.
        compStages : for i in 0 to col-1 generate
        compStages : for i in 0 to col-1 generate
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.