OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [trunk/] [BLRT/] [powerGrid.vhd] - Diff between revs 22 and 24

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 22 Rev 24
Line 142... Line 142...
 
 
                                        vdinput : in std_logic_vector (W-1 downto 0);
                                        vdinput : in std_logic_vector (W-1 downto 0);
                                        kinput  : in std_logic_vector (W-1 downto 0);
                                        kinput  : in std_logic_vector (W-1 downto 0);
                                        koutput : out std_logic_vector (W-1 downto 0);
                                        koutput : out std_logic_vector (W-1 downto 0);
 
 
                                        sDP                     : out std_logic_vector (W-1 downto 0) -- Selected dot product.                                   
                                        vdoutput: out std_logic_vector (W-1 downto 0) -- Selected dot product.                                   
                );
                );
        end component;
        end component;
 
 
end powerGrid;
end powerGrid;
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.