OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [trunk/] [BLRT/] [powerGrid.vhd] - Diff between revs 24 and 29

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 24 Rev 29
Line 136... Line 136...
                                );
                                );
                port    (
                port    (
                                        clk                     : in std_logic;
                                        clk                     : in std_logic;
                                        rst                     : in std_logic;
                                        rst                     : in std_logic;
 
 
                                        nxtRow  : in std_logic; -- Controls when the sphere goes to the next Row. 
                                        nxtSphere       : in std_logic; -- Controls when the sphere goes to the next Row. 
 
                                        pipeOn          : in std_logic; -- Enables / Disables the upwarding flow.
                                        vdinput : in std_logic_vector (W-1 downto 0);
                                        vdinput : in std_logic_vector (W-1 downto 0);
                                        kinput  : in std_logic_vector (W-1 downto 0);
                                        kinput  : in std_logic_vector (W-1 downto 0);
                                        koutput : out std_logic_vector (W-1 downto 0);
                                        koutput : out std_logic_vector (W-1 downto 0);
 
 
                                        vdoutput: out std_logic_vector (W-1 downto 0) -- Selected dot product.                                   
                                        vdoutput: out std_logic_vector (W-1 downto 0) -- Selected dot product.                                   

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.